KR100867839B1 - 연속적인 증착 시스템 - Google Patents

연속적인 증착 시스템 Download PDF

Info

Publication number
KR100867839B1
KR100867839B1 KR1020037008948A KR20037008948A KR100867839B1 KR 100867839 B1 KR100867839 B1 KR 100867839B1 KR 1020037008948 A KR1020037008948 A KR 1020037008948A KR 20037008948 A KR20037008948 A KR 20037008948A KR 100867839 B1 KR100867839 B1 KR 100867839B1
Authority
KR
South Korea
Prior art keywords
substrate
processing
carrier
temperature control
plate
Prior art date
Application number
KR1020037008948A
Other languages
English (en)
Other versions
KR20030068574A (ko
Inventor
아키히로 호소카와
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20030068574A publication Critical patent/KR20030068574A/ko
Application granted granted Critical
Publication of KR100867839B1 publication Critical patent/KR100867839B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

기판을 처리하기 위한 장치 및 방법이 제공되는데, 상기 장치는 처리 환경내에 기판을 운반하기 위한 하나 이상의 기판 캐리어를 포함한다. 상기 처리 환경 내의 하나 이상의 온도 제어 플레이트가 상기 하나 이상의 기판 캐리어와 선택적으로 연통하고 외부 기판으로부터 상기 처리 환경 내의 상기 기판 캐리어로 기판을 운반하고 상기 처리 환경의 온도를 조정하기 위해서 사용된다. 상기 기판상의 선택된 막을 증착시키도록 구성된 하나 이상의 증착 디바이스와 어닐링 디바이스는 상기 처리 환경내의 상기 하나 이상의 기판 캐리어 근처에 위치된다.

Description

연속적인 증착 시스템{CONSECUTIVE DEPOSITION SYSTEM}
본 발명은 반도체 처리의 분야에 관한 것이다. 더 상세하게는, 본 발명은 반도체 처리 시스템을 통해 기판을 운반하기 위한 장치 및 방법에 관한 것이며, 상기 장치 및 방법은 온도제어 메커니즘을 포함한다. 나아가, 본 발명은 폴리(Poly) 실리콘 막을 생성하도록 반도체 처리 시스템을 통해 기판을 운반하기 위한 장치 및 방법에 관한 것이다.
반도체 산업에서, 일반적으로 처리 시스템을 통해 기판을 운반하는 두개의 주요한 방법이 있다. 하나의 전통적인 방법은 도 1에서 보여주는 것 같은 "클러스터 툴(cluster tool)" 구성을 사용한다. 클러스터 툴 플랫폼은 일반적으로 모듈형 다중-챔버 통합 처리 시스템을 지칭한다. 이런 타입의 처리 시스템은 통상적으로, 중앙 웨이퍼 처리 진공 챔버(20, 32) 및 일반적으로 중앙 챔버 주위에 클러스터로 배열되는 다수의 주변 처리 챔버(24, 26, 28 및 36)를 포함한다. 처리할 다중 기판들 또는 웨이퍼들(22)은 일반적으로 카세트(cassette)(10)에 저장되고, 로드 록(load lock)(12, 14)으로부터 로드(load)/언로드(unload)되고 주위 조건에 노출되지 않고 다양한 처리 챔버내 진공 상태에서 처리된다. 처리를 위한 웨이퍼(22)의 운반은 일반적으로 웨이퍼 처리 진공 챔버(20)내의 중앙에 있는 로봇(16) 또는 제2 웨이퍼 처리 진공 챔버(32)내의 로봇(30)에 의해 관리되며, 상기 둘 다의 경우는 일반적으로 진공 조건하에서 유지된다. 마이크로 프로세서 제어기(38) 및 관련 소프트웨어가 웨이퍼의 처리 및 이동을 제어하기 위해 제공된다. 작동할 때, 클러스터 툴 구성은 웨이퍼에 원하는 재료 및 패턴(pattern)을 생성하기 위해 일반적으로 카세트(10)로부터 하나의 기판을 수용하고 미리 결정된 순서의 중앙 웨이퍼 처리 챔버(20, 32) 및 주변 처리 챔버(24, 26, 28 및 36)를 통해 상기 기판을 처리할 것이고, 그리고 나서 상기 기판은 카세트(10)로 되돌려질 것이다.
클러스터 툴 구조는 상대적으로 작은 기판을 처리하기에 일반적으로 바람직하지만, "인라인(inline)" 시스템으로 알려진 기판을 처리하는 제 2방법이 더 큰 기판을 처리하는 데는 일반적으로 바람직하다. 예를 들어 유리, 세라믹 플레이트, 플라스틱 판, 또는 디스크상에 형성될 수 있는, 상기 더 큰 기판은 액티브 매트릭스 텔레비전, 컴퓨터 디스플레이, 액정 디스플레이(LCD) 패널 및 다른 디스플레이로서 사용되는 평판 패널 타입의 디스플레이를 제조하는 데에 사용된다. 통상의 평판 패널 타입 디스플레이를 지지하는 전형적인 유리 기판은 대략 680mm x 880mm의 칫수를 가진다. 다른 디스플레이 기기에 대해서는, 상기 기판의 크기는 디스플레이의 특정 크기를 지지하는데 필요한 것에 따라 상당히 더 클 수 있다.
도 2는 전형적인 모듈형 인라인 시스템(40)의 개략적인 측면도이다. 이런 타입의 처리 시스템은 일반적으로 로드 챔버(46) 및 언로드 챔버(48) 사이에 배치된 처리 챔버(42, 44)의 직렬 또는 인라인 배열을 포함한다. 승강기(50)가 로드 챔버(46)의 입구에 위치되고 다른 승강기(52)가 언로드 챔버(48)의 출구에 위치된다. 처리 챔버(42, 44)는, 화학적 기상 증착(CVD) 챔버, 물리적 기상 증착(PVD) 챔버, 에칭 챔버, 및/또는 다른 증착 및 처리 챔버들와 같은, 증착 챔버를 포함할 수 있다. 캐리어 복귀라인(58)은 처리 첨버(42, 44)위에 위치되고 승강기(50, 52)에 결합된다. 처리 챔버(42, 44)는 통상적으로 진공 또는 낮은 압력하에서 유지되고, 도 2에서 보여주는 것처럼, 하나 이상의 차단 밸브(60, 62, 64, 66 및 68)에 의해 격리된다. 통상적으로, 다중 기판(54, 56, 70, 72)은, 도 4 및 5에서 보여주는 것처럼, 캐리어(74)에 의해 지지된다. 차단 밸브(60, 62, 64, 66 및 68)는 일반적으로 고정된 위치에서 각각의 챔버들을 서로로부터 실링(sealing)하도록 구성되고 기판(54, 56)이 밸브를 통해 공개된 위치의 인접 스테이션(station)으로 운반되도록 한다.
도 2에 도시된 캐리어(74)는 승강기(50)에 인접하여 위치되고, 그곳에서 기판(54, 56, 70, 72)은 수용 스테이션(51)의 캐리어(74)위로 수동으로 로드된다. 승강기(50)로의 (도시되지 않은)문이 열려서 캐리어(74)가 (도시되지 않은)트랙위에서 상기 승강기 내에 위치되도록 한다. 승강기(50)내부의 온도 및 압력은 통상적으로 주위 조건과 같다. 차단 밸브(60)가 열려서 캐리어(74)가 로드 챔버(46)내로 트랙위에서 이동된다. 로드 챔버(46)는 실링되고 CVD 처리에 대해 통상적으로 약 10mTorr에서 약 50mTorr까지의 범위에서 그리고 PVD 처리에 대해 통상적으로 약 1mTorr에서 약 5mTorr까지의 범위에서 진공 상태까지 펌핑된다. 다른 차단 밸브(62)는 개방되어 캐리어(74)가 처리 챔버(42)내로 이동되며, 그곳에서 상기 기판은 처리하기에 적당한 온도로 가열될 수 있다. 또 다른 차단 밸브(64)가 개방되어 캐리어(74)가 처리 챔버(44)내로 트랙을 따라 이동된다. 만일 처리 챔버(44)가 스퍼터링(sputtering) 처리 챔버라면, 상기 챔버는 복수의 타겟(76, 78)을 포함할 수 있는데, 상기 복수의 타겟은 기판이 각 타겟 근처의 트랙을 따라 이동할 때 기판과 맞대고 있는 타겟의 표면으로부터 기판(54, 56, 70, 72)위로 재료를 스퍼터(sputter)한다. 각각의 스퍼터링 타겟은 애노드(통상적으로 상기 타겟)와 캐소드(통상적으로 접지된 챔버 벽) 사이에서 생성된 이온화된 가스 원자들로서 기판과 맞대고 있는 면에 충돌되고 타겟의 원자들은 제거되어 기판상에 증착하기 위한 그 기판쪽으로 향한다. 각 타겟은 바람직하게는 타겟의 면에 대체로 평행한 자기력선을 생성함으로서 스퍼터링 비율을 향상시키기 위해 기판으로부터 멀리 떨어진 타겟의 뒷면에 배치된 (도시되지 않은) 자석을 가지고, 그 자석 주위에서 전자들이 스퍼터링하기 위한 가스 원자와의 충돌 가능성 및 가스원자의 이온화 가능성을 증가시키기 위해 스피닝(spinning) 궤도에 사로잡힌다. 그 후 기판(54, 56, 70, 72)은 차단 밸브(66)를 통해 언로딩 챔버(48)로 이동된다. 차단 밸브(68)가 닫히고, 그래서 처리 챔버(44)를 언로드 챔버(48)로부터 실링한다. 차단 밸브(68)가 열려서 캐리어(74)가 언로딩 챔버(48)로부터 제거되도록 하고 기판(54, 56, 70 및 72)는 통상적으로 캐리어(74)로부터 수동으로 언로드된다. 상기 기판은 또한 기판을 냉각시킬 시간을 갖도록 언로딩 챔버에서 보류된다. 기판이 언로드된 후, 캐리어(74)는 승강기(52)로 들어가고, 그 위에서 승강기(52)는 캐리어(74)를 캐리어 복귀 라인(58)까지 들어올린다. 캐리어 복귀 라인(58)내의 (도시되지 않은)트랙 시스템은 상기 캐리어를 승강기(50)로 되돌리고, 그것은 처리될 일단의 다른 기판들을 수용하기 위해 상기 캐리어를 처리 시스템의 다른 첨단위의 수용 스테이션(51)에 위치하도록 낮춘다.
상기 인라인 시스템(40)이 기판 운반 및 생산을 위해 현재 사용되고는 있지만, 이런 타입의 인라인 시스템은 여러 단점을 가지고 있다. 특히, 캐리어(74)는 (진공하의)처리 환경으로부터 승강기(50, 52)내의 주위 환경까지 캐리어 이동, 및 처리 환경으로 되돌려지는 캐리어 이동의 결과로서 열적 순환이 된다. 열적 순환의 결과로서, 증착 재료가 박리되거나 캐리어(74)로부터 이탈될 수 있고 기판상에 원치 않는 입자 오염물을 유발할 수 있다. 덧붙여, 노출된 트랙 시스템의 사용은, 처리 챔버내 및 시스템의 주위 영역내 둘 모두에서, 오염물질을 생성하기 쉽다. 나아가, 승강기와 트랙 시스템의 사용은 시스템을 복잡하게 하고, 이는 고장을 피하기 위해서 다양한 움직이는 부분의 추가적인 관리를 초래한다. 더 나아가, 캐리어(74)가 진공 조건 및 대기압을 통해 순환한 결과로서, 캐리어(74)는 주위 환경에서 주위 조건으로부터 가스를 흡수하는 경향이 있고, 이는 필연적으로 챔버 압력을 증가시키고 대기 환경에서 흡수된 가스가 캐리어(74)로부터 진공 환경으로 빠져나가 증착된 막 층의 오염을 유발한다. 캐리어(74)의 열적 순환에 덧붙여, 캐리어(74)의 평균 온도는 통상적으로 다중 세트의 기판이 처리 환경내 주위 조건위의 온도에서 처리되기 때문에 증가한다. 처리 챔버내의 대부분의 처리는 온도에 민감하기 때문에, 캐리어 온도에서의 이러한 증가는 최종적인 증착 처리가 불균일한 막을 생성할 가능성을 증가시키고, 캐리어(74)로부터의 열 전달은 기판 및/또는 처리 특성에 영향을 줄 수 있다. 기판 온도에서의 이러한 통제되지 않은 변화는 생산 사이클의 처음에 생성된 막이 생산 사이클의 마지막에 생성된 막과 다른 결과를 초래할 수 있다. 전형적인 인라인 시스템의 또 다른 위험은 인접하는 처리 챔버들의, 특히 반응성 증착 처리를 사용하는 챔버들의, 처리들 사이의 교차 오염이다. 반응성 처리는 일반적으로 적절한 비율의 둘 이상의 구성요소에 의존하여서, 인접하는 처리 영역으로부터의 구성요소의 유입은 반응성 처리를 불안정하게 하고/하거나 하나 또는 둘의 처리 영역상의 증착 특성에 불리하게 영향을 미칠 수 있다.
그래서, 현재의 인라인 처리 시스템으로부터 유래하는 명백한 불이익을 볼때, 개선된 인라인 시스템 및 기판 처리 방법에 대한 수요가 있다. 특히, 다중 인라인 증착 영역을 통해 상대적으로 크고 편평한 기판을 처리할 수 있는 개선된 인라인 시스템에 대한 수요가 있다. 나아가, 큰 평면 패널 디스플레이를 지원하기에 충분한 크기의 기판을 처리할 수 있는 인라인 처리 시스템에 대한 수요가 있다.
본 발명은 일반적으로 하나 이상의 처리 챔버(chamber)에 증착된 캐리어(carrier) 및 상기 처리 챔버 와 로드 록(load lock) 챔버 사이에 기판을 운반하기 위한 하나 이상의 셔틀(shuttle)을 가지고서 기판을 처리하기 위한 시스템을 제공한다. 다수의 처리 챔버, 로드 록 챔버 및 다른 챔버들이 기판이 처리될 실질적으로 직선 배열의 모듈형(modular) 챔버를 형성하기 위해 결합될 수 있다. 본 발명의 캐리어는 일반적으로 처리 환경에만 노출되어 있어서, 즉 상기 캐리어가 일반적으로 비-처리 챔버내로 왕복하지 않는다. 그래서, 기판의 연속적인 순차 처리 동안에, 상기 캐리어의 열적 순환은 감소되고 없어질 수도 있다. 상기 캐리어는 숨겨진 트랙을 따라 처리 챔버내로 거꾸로 이동된다. 칸막이에 의해 분리된 다중 처리 구역들은 다수의 처리 체제가 간섭없이 같은 처리 챔버내에서 일어나도록 한다. 나아가, 본 발명에 따른 캐리어의 작동 온도는 하나 이상의 온도-제어, 미리 한정된 캐리어 온도를 이루기 위해 캐리어로/로부터 열을 방사/흡수하도록 설계된, 플레이트에 의해 제어된 온도이다.
일 실시예에서, 본 발명은 기판을 처리하기 위한 장치를 제공하는데, 상기 장치는 처리 환경내에 기판을 운반하기 위한 하나 이상의 기판 캐리어, 상기 하나 이상의 캐리어와 선택적으로 연통하는 하나 이상의 온도 제어 플레이트, 및 상기 하나 이상의 기판 캐리어 가까이에 배치되는 하나 이상의 증착 디바이스를 포함한다. 상기 하나 이상의 증착 디바이스는 일반적으로 기판위에 선택된 막을 증착하도록 구성된다.
다른 실시예에서, 본 발명은 기판을 처리하기 위한 방법을 제공하는데, 상기 방법은 하나 이상의 기판 캐리어에 처리 환경으로 기판을 운반하는 단계, 및 상기 기판을 상기 처리 환경내에서 기판 지지 플레이트로 운반하는 단계를 포함한다. 위에 상기 기판을 가진 상기 기판 지지 플레이트를 처리 환경에서 하나 이상의 처리 구역을 통해 운반하는 또 다른 단계 및 상기 처리 환경으로부터 제거하기 위해 상기 기판을 상기 기판 지지 플레이트로부터 상기 하나 이상의 기판 캐리어로 운반하는 또 다른 단계가 또한 제공될 수 있다.
또 다른 실시예에서, 본 발명은 폴리 실리콘 막을 생성하기 위한 방법을 제공하는데, 상기 방법은 처리 환경으로 기판을 로딩(loading)하는 단계, 상기 기판을 처리 환경내의 하나 이상의 증착 소오스(source)에 노출시키는 단계, 상기 기판을 상기 처리 환경에서 열처리(annealing) 디바이스에 노출시키는 단계, 및 상기 기판을 상기 처리 환경으로부터 제거하는 단계를 포함한다. 나아가, 상기 방법은 하나 이상의 온도 제어 플레이트를 사용하여 기판의 온도를 유지/제어하는 단계를 포함한다.
상기 기술된 본 발명의 특징, 장점, 및 목적이 달성되고 완전히 이해되도록,위에서 간략하게 요약된 본 발명은 전형적인 실시예를 참조하여 더 상세히 설명될 수 있고, 전형적인 실시예가 첨부된 도면에 따라 설명된다.
그러나, 첨부된 도면은 단지 본 발명의 전형적인 실시예를 도시하는 것으로서 본 발명의 범위에 대한 제한으로 여겨져서는 안 되고, 따라서 본 발명은 다른 동등한 효과가 있는 실시예까지 미칠 수 있다.
도 1은 전형적인 클러스터 툴(cluster tool) 시스템의 개략적인 최상부도이다.
도 2는 전형적인 인라인(inline) 시스템의 개략적인 측면도이다.
도 3은 도 2에서 도시된 인라인 시스템내 챔버의 개략적인 최상부도이다.
도 4는 인라인 시스템내 기판 캐리어(carrier)의 개략적인 첨단도이다.
도 6은 본 발명의 연속적인 증착 인라인 시스템의 개략적인 최상부도이다.
도 7은 도 6에 도시된 연속적인 증착 인라인 시스템의 개략적인 측면도이다.
도 8은 셔틀(shuttle)의 개략적인 투시도이다.
도 9는 챔버의 개략적인 부분 단면도이다.
도 10은 피니언(pinion)을 도시하는 다른 챔버의 개략적인 단면도이다.
도 11은 도 10에 도시된 구동 메커니즘의 택일적인 실시예의 개략도이다.
도 12는 기판 캐리어의 개략적인 투시도이다.
도 13a는 내부에 유체(fluid) 시스템을 가진 핀(pin) 플레이트의 개략적인 투시도이다.
도 13b는 내부에 히터(heater)를 가진 핀(pin) 플레이트의 개략적인 투시도이다.
도 14는 도 6에 도시된, 온도 제어가능한 플레이트를 가진, 시스템의 다른 실시예의 개략적인 측면도이다.
도 15는 도 14에 도시된 온도 제어가능한 플레이트의 개략적인 최상부도이다.
도 16은 상기 온도 제어가능한 플레이트의 개략적인 측면도이다.
도 17은 한 쌍의 로드 록 챔버, 처리챔버, 및 로봇을 가진 시스템의 최상부도이다.
도 18은 두개 라인의 챔버를 가지고, 각자가 두개의 로드 록 챔버 및 상기 두개의 라인 사이에 배치된 하나의 로봇을 가진 하나의 처리 챔버를 가진 시스템의 최상부도이다.
도 19 - 도 23은 전형적인 처리 순서의 개략도이다.
도 24는 전통적인 폴리 실리콘 막을 생성하는 예시적인 방법도이다.
도 25는 전형적인 LCD TFT 구조도이다.
도 26은 폴리(poly) 실리콘 막을 생산하는 방법도이다.
도 27은 인라인 증착 시스템의 최상부도이다.
도 28은 인라인 증착 시스템의 측면도이다.
본 발명은 일반적으로 하나 이상의 상호 연결된 챔버들 및 상기 하나 이상의 챔버들을 통하여 기판을 지지하고 운반하기 위해 시스템에 배치된 하나의 기판 캐리어를 가진 연속적인 인라인 처리 시스템을 제공한다. 상기 각각의 챔버들의 배열은 일반적으로 선형이나, 예를 들어 연속적인 원 또는 타원형과 같은 다양한 배열 형태의 구성들이 본 발명의 범위 내에서 특히 예상된다. 한가지 면에서, 본 발명은 처리 시스템의 대향하는 첨단에 위치된 로딩 및 언로딩 로드 록 챔버를 포함한다. 운반 셔틀은 처리 시스템내의 기판 캐리어로/로부터 기판을 운반하기 위해 각 로드 록 챔버에 배치될 수 있다. 또한, 반응 처리 및 비-반응 처리가 처리 챔버내의 처리 구역 사이에 칸막이를 사용하여 단일 처리 챔버에서 수행될 수 있다. 상기 칸막이는 반응 처리가 각 개별 처리 사이의 전통적인 차단 밸브 없이 발생하도록 한다.
도 6 및 도 7은 전형적인 선형적인 연속 증착 시스템(90)의 최상부 및 측면도이다. 시스템(90)은 한쪽 첨단에 유입 로드 록(entry load lock) 챔버(92), 다른 첨단에 배치된 유출 로드 록(exit load lock) 챔버(94)를 포함하고, 하나 이상의 연속적인 처리 챔버(96)는 일반적으로 그 사이의 공간을 차지한다. 유입 로드 록 챔버(92)는 차단 밸브(98)의 활성화를 통하여 처리 챔버(96)로부터 선택적으로 차단된다. 유입 로드 록 챔버(92)는 또한 로봇(110)에 의해 서비스받는 수용 스테이션(106)을 개방하는 밸브(102)를 포함할 수 있다. 로봇(110)은 기판(114)을 유입 로드 록 챔버(92)에 운반하도록 작동한다. 마찬가지로, 유출 로드 록 챔버(94)는 차단 밸브(100)의 활성화를 통하여 처리 챔버(96)로부터 선택적으로 차단될 수 있다. 유출 로드 록 챔버(94)는 또한 다른 로봇(112)에 의해 서비스받는 수용 스테이션(station)(108)을 개방하는 밸브(104)를 포함할 수 있다. 그래서, 로봇(112)은 유출 로드 록 챔버(94)로부터 하나 이상의 기판(114)을 인출하도록 동작한다. 택일적으로, 상기 시스템은 각각의 챔버에 인접하여 배치된 트랙을 따라 이동함으로서 로드 챔버 둘다를 서비스하는 트랙 타입 로봇을 포함할 수 있다. 상기 로봇은 일반적으로 대기 로봇(atmospheric robot)이라 불리우고 MECS, RORTZ, JEL, Daihen, Komatsu 및 다른 잘 알려진 제조업체로부터 나온 제품 모델이 상업적으로 이용될 수 있다.
하나 이상의 셔틀(118)이 유입 로드 록 챔버(92)에 위치될 수 있고 하나 이상의 다른 셔틀(120)이 유출 로드 록 챔버(94)에 위치될 수 있다. 셔틀(118 및 120)은 하나 이상의 역회전할 수 있는(reversible) 모터 및/또는 기어(gear) 조립체를 사용하여 처리 챔버(96) 안으로 로드 록 챔버(92 및 94)의 안팎에서 운동할 수 있다. 이러한 운동은 셔틀(118 및 120)이 처리 챔버(96)의 안으로 또는 밖으로 기판을 운반하는 것을 가능하게 하고, 계속해서 상기 처리 챔버로부터 그들의 각 로드 록 챔버들 안으로 끌어들이게 한다. 하나 이상의 캐리어(122)는 처리 챔버(96)안에서 내부에 연속적으로 위치되는데, 즉, 캐리어(122)는 일반적으로 상기 로딩, 증착 및 언로딩 처리 내내 처리 챔버내에 존재한다. 일반적으로 증착 처리 동안에 기판을 지지하기 위해 사용되는 캐리어(122)는 통상적으로 양방향으로 움직일 수 있거나, 즉, 유입 로드 록 챔버(92) 및 유출 로드 록 챔버(94)의 양방향으로 전환할 수 있거나, 또는 처리 챔버(96)내에서 가로질러 움직일 수 있다. 나아가, 기판을 수용하는 부재로서 작동하는, 캐리어(122)는 상기 수용 부재의 최상부 부분에 기판을 맞물림/유지하기 위한 기판 수용 표면 및 수용 부재의 최하부 부분에 구동을 제한하는 부재를 가지고 있으며, 그들 둘 모두는 여기에서 더 논의될 것이다.
도 6 및 도 7에 도시된 전형적인 실시예에서, 세개의 트랙들이 셔틀(118, 120) 및 캐리어(122)의 운동을 위해 시스템(90)에 배치된다. 각 트랙은 일반적으로 다수의 안내 롤러(roller)(126a-126p)(일반적으로 여기서는 안내 롤러(126)로 언급된다)및 피니언 기어(128a-128h)(일반적으로 여기서는 피니언(128)으로 언급된다)를 포함한다. 트랙(123 및 125)은 처리 챔버(96) 및 그들의 각 로드 록 챔버 사이에 셔틀(118 및 120)의 운동을 지지한다. 제 3캐리어 트랙(124)은 처리 챔버(96)내 운동을 위해 캐리어(122)를 지지한다. 다수의 안내 롤러 및/또는 피니언 기어를 배열시키는 것은 트랙을 형성할 수 있고, (도시되지 않은)측면 안내 레일을 포함하도록 구성될 수 있다. 각 트랙을 따라 안내 롤러(126) 및 피니언 기어(128)의 양은 챔버의 길이, 셔틀 및 캐리어의 길이, 및 기판의 크기와 같은 인자에 따라 다를 수 있다. 셔틀 트랙(123)은 유입 로드 록 챔버(92)에 배치되어 처리 챔버(96)안으로 확장하는데, 그에 의해 셔틀(118)이 기판(114)을 처리 챔버(96)안으로 전송하도록 한다. 셔틀 트랙(123)은 일반적으로 안내 롤러(126) 및 시스템(90)의 하부에 배치된 피니언 기어(128)를 포함하고 챔버(92 및 96)사이에 셔틀의 운동을 위한 통로를 제공한다. 유사하게, 다른 셔틀 트랙(125)이 일반적으로 유출 로드 록 챔버(94)에 위치되고 처리 챔버(96)안으로 확장한다. 셔틀 트랙(125)은 다수의 안내 롤러(126) 및 피니언 기어(128)를 포함하고, 챔버(94와 96)사이에 셔틀의 이동경로를 제공한다. 캐리어 트랙(124)은 일반적으로 차단 밸브(98 및 100)사이까지 확장하고, 그래서 기판이 처리될 때 챔버(96)내에 캐리어(122)의 운동을 위한 연속적인 통로를 제공한다. 캐리어 트랙(124)은 일반적으로 셔틀 트랙(123 및 125)보다 넓이에서 좁고 다수의 안내 롤러(126) 및 피니언 기어(128)를 포함한다. 캐리어 트랙(124)의 더 좁은 폭은 캐리어(122)가 셔틀과 캐리어 사이에 기판을 운반하기 위해 셔틀(118 및 120) 아래에 위치되도록 한다. 캐리어와 셔틀이 그들의 각 트랙을 따라 이동될 때 캐리어(122) 및 셔틀(118 및 120)상의 각 레일이 적어도 두개의 지점에서 지지되도록 하기 위해 안내 롤러(126) 및 피니언 기어(128)는 적절한 간격으로 트랙(123, 124, 125)를 따라 배치될 수 있다. 캐리어 트랙(124)이 셔틀 트랙(123 및 125)과 다른 폭-트랙 레일들 사이의 간격-을 가진 트랙으로 도시되었지만, 다양한 다른 구성과 메커니즘이 로드 록 챔버(92 및 94)와 처리 챔버(96) 사이에 기판을 전송하기 위해 사용될 수 있다. 예를 들어, 트랙 대신에 로봇(110, 112)의 로봇 팔과 유사한 캔틸레버된 조립체, 로봇 및 V-모양의 셔틀 및/또는 캐리어가 대안으로 될 수 있다.
핀(pin) 플레이트(132)는 유출 로드 록 챔버(92)에 인접한 처리 챔버(96)의 로딩 첨단(loading end) 가까이에 위치된다. 핀 플레이트(132)는 일반적으로 알루미늄 또는 구리와 같은 열 전도성 물질로 제조된다. 핀 플레이트(132)는 일반적으로 샤프트(shaft)(136) 및 리프트(lift) 모터(140)에 결합되고, 핀 플레이트(132)의 선택적인 운동을 용이하게 하기 위해 작동한다. 다수의 핀(144)이 핀 플레이트(132)의 외부 면으로부터 대체로 직각인 방향으로 확장한다. 나아가, 핀 플레이트(132)의 온도는, 예를 들어, 하나의 발열성 기계적/화학적 디바이스 및/또는 냉각 유입구 라인(148) 및 출구 라인(150)을 통해 수용된 하나의 내부로 흐르는 잠열성(endothermic)/발열성(exothermic) 유체를 사용하여, 도 13a, 13b, 15 및 16에서 도시된 바와 같이, 제어될 수 있다. 도 13a는 유동 유체를 수용하기 위해 거기에 형성된 유체 통로를 가진 전형적인 핀 플레이트(132)를 도시하는데, 유체의 온도가 핀 플레이트(132)의 온도보다 낮다고 가정하여, 그것은 핀 플레이트(132)로부터 열을 제거하기 위해 사용될 수 있다. 도 13b는 내부 히터(149), 외부 히터(151), 및 열전대(thermocouple)(153)를 가진 전형적인 핀 플레이트(132)를 도시하는데, 그것들은 핀 플레이트(132)의 온도를, 필요하다면, 올리기 위해 협력하여 작동할 수 있다. 온도에서의 이러한 증가는, 예를 들어 챔버(96)내에 바람직한 처리 변수를 생성하기 위하여, 기판(114) 또는 캐리어(122) 둘 중 하나의 온도를 증가시키도록 사용될 수 있다. 나아가, 필요하다면, 히터(149) 및 핀 플레이트(132)에 대한 입구(inlet)(148) 및 출구(outlet)(150)를 상호 연결하는 유체 채널이 선택적으로 가열 및/또는 냉각 핀 플레이트(132)와 협력하도록 연결될 수 있다. 상기 유체 채널은 Swagelock(등록상표) 및 VCR(등록상표)와 같은 진공 호환성 커넥터를 통해 유입구(148)과 출구(150)을 연결할 수 있다. 나아가, 유체 채널을 소오스에 연결하는 유입구 와 출구 및 상기 채널을 통해 통과될 유체에 대한 드레인은 핀 플레이트(132)에 바로 연결될 수 있다. 택일적으로, 유체 공급과 드레인 라인은 샤프트(136)의 내부 부분을 통해 핀 플레이트(132)까지 발송될 것이다. 덧붙여, 핀 플레이트(132)의 가열 또는 냉각이 처리 챔버(96)내의 주위 온도를 증가 또는 감소시키기 위해 사용될 수 있다. 나아가, 도 13a에 도시된 유체 통로가 냉각 유체와 함께 사용하기 위해 기술되었지만, 상기 유체통로는 핀 플레이트(132)의 것보다 더 높은 온도를 가진 유체를 수용하기 위해 사용될 수 있고, 따라서 핀 플레이트(132) 및/또는 주위 챔버 구성 부분 및/또는 기판을 가열할 수 있다. 덧붙여, 도 13a 및 도 13b는 전형적인 히터와 냉각 유체 통로 구성을 도시하고, 다양한 대안적인 구성 및/또는 히터와 유체 통로에 대한 디바이스가 본 발명의 범위 내에서 예상될 수 있다.
핀 플레이트(132)와 구조에서 유사한, 핀 플레이트(134)가 출구 로드 록 챔버(94)에 인접한 플레이트(132)로부터 처리 챔버(96)의 반대편 첨단에 배치된다. 핀 플레이트(134)는 샤프트(138) 및 리프트 모터(142)에 결합되고, 다시 플레이트(142)로부터 대체로 직각인 방향으로 확장하는 다수의 핀(146)을 포함한다. 유사하게, 핀 플레이트(134)의 온도는, 플레이트(132)에 관해 기술된 바와 같이, 발열 디바이스 및/또는 입구 라인(152) 및 출구 라인(154)를 통해 내부로 흐르는 잠열 유체를 사용하여 제어된다. 그래서, 일단 기판(114)이 캐리어상의 처리 챔버(96)로 로드되면, 하나 이상의 온도 제어 플레이트(132, 134)가 셔틀로부터 (아래 설명되는)캐리어까지 기판 둘 모두를 운반하기 위해 사용될 수 있고, 그 동안에 처리 챔버 및/또는 기판의 온도를 선택적으로 조정하기 위해 작동된다.
도 7 및 도 28에서 도시된 바 같이, 전형적인 실시예의 처리 챔버는 하나 이상의 처리 구역을 포함할 수 있고, 하나 이상의 처리 환경은 기판이 그곳을 통과할 때 유지될 수 있다. 예를 들어, 하나 이상의 타겟(156, 158, 160 및 162)이, 예를 들어 처리 챔버(96)이 스퍼터링 챔버라면, 처리 챔버(96)내에서 기판(114) 및/또는 캐리어(122)위에 배치될 수 있다. 유사하게, CVD 또는 에칭 프로세스를 위한 (도시되지 않은)샤워헤드(showerhead)가 처리 구성이 요구하는 대로 기판(114) 및/또는 캐리어(22) 위에, 다른 타입의 처리에 필요한 다른 구성 부분들과 함께, 배치될 수 있다. 칸막이(171, 173, 175, 177 및 179)들은 각각의 타겟들(156, 158, 160 및 162) 근처에 그리고 각각의 타겟들(156 및 162)의 인접하지 않은 측면들에 위치될 수 있다. 칸막이(171, 173, 175, 177 및 179)가, 처리 챔버(96) 내의 네 개의 처리 구역(172, 174, 176 및 178)과 같은, 처리 구역의 순서를 정의하기 위해 인접하는 타켓으로부터 각각의 타겟을 물리적으로 분리하기 위해 구성된다. 각 구역은 그곳을 통해 운반되는 기판의 선형 치수보다 길이에서 더 짧을 수 있고, 그래서 기판의 일부가, 즉 전체 폭 및 부분적인 길이가, 동시에 단일 구역의 처리 환경에 노출된다. 그래서, 전형적인 구성에서는, 기판(114)는 기판(114)위의 위치를 변화시킬 때 다중 처리 구역에 동시에 노출될 수 있다. 하나의 처리 챔버(96) 이상을 가진 시스템에 대해, 하나 이상의 처리 구역이 각 처리 챔버에 포함될 수 있다.
처리 구역(172, 174, 176 및 178)의 하부는 처리될 기판이 차단 밸브를 통해 다른 처리 챔버내로의 필요한 유입없이도 하나의 처리 구역으로부터 다른 처리 구역으로 이동할 수 있도록 처리 챔버(96)의 처리 환경에 일반적으로 개방되어 있다. 각 칸막이는 처리될 기판(114) 근처의 위치까지 연장하는데, 기판(114)이 챔버(96)을 통해 처리되고 있을 때, 그것은 기판(114)로부터, 예를 들어, 약 1mm에서 약 5mm까지 일 수 있다. 이런 성질의 분리는 구역 사이에 기판(114)의 전송을 허용하고, 그 동안에 하나의 처리 구역으로부터의 요소들이 다른 구역으로 들어가 오염을 일으키는 것을 막도록 한다. 나아가, 하나 이상의 처리 구역은 특정 처리 구역으로 하나의 반응 가스 또는 가스들을 도입하기 위한 하나 이상의 가스 입구를 포함함으로서 반응 처리를 제공하도록 적응될 수 있다. 가스 입구(165) 및/또는 다른 반응성 처리 관련 구성부분은 비-반응성 처리를 위해 필요한 구성 부분으로 간섭받지 않도록 적절히 위치된다고 가정하면, 반응 처리를 위해 사용되는 같은 처리 구역은 반응 가스들을 처리 구역으로 도입하지 않고서 비-반응성 처리를 위해 사용될 수 있다.
일련의 처리 구역을 통해, 특히 더 큰 기판에 대해, 수행된 기판 처리의 결과로서, 유입 로드 록 챔버(92)에 인접한 차단 밸브(98)는 기판(114)이 처리 구역들(172, 174, 176 및 178) 중 하나 이상을 통해 이동하기 위한 클리어런스(clearance)를 제공하기 위해 부분적으로 개방될 수 있고, 그 동안에 밸브(98) 가까이에 있는 공간을 여전히 차지할 수 있다. 유사하게, 유출 로드 록 챔버(94)에 인접한 차단 밸브(100)는 더 큰 기판이 챔버(96)을 통해 이동하도록 추가적인 세로의 클리어런스를 제공하기 위해 부분적으로 개방된다. 또한 캐리어(122)의 운반 속도 또는 비율은 기판(114)이 단순히 처리 장치의 한 첨단으로부터 다른 첨단으로 세로로 운반되는지, 트랙(124)을 따라 처리 구역으로부터/으로 운반되는지 또는 특정 처리 구역을 통해 처리되는지에 따라 다를 수 있다. 처리 동안에 특정 처리 구역을 통한 기판의 이동 비율은 처리 비율과 처리 영역내 기판상의 각 지점의 지속성의 곱에 근거하여 바람직한 증착 두께 또는 에칭 완료를 가져오도록 선택된다. 증착 영역을 통한 캐리어(122)의 속도는, 예를 들어, 증착 동안에 약 5mm/sec 내지 20mm/sec 및 이동 동안에 약 100mm/sec 내지 200mm/sec의 범위에 있을 수 있다. 그래서, 기판(114)은 특정 증착 영역내에 있는 동안 기판(114)위에 원하는 막 두께를 적절히 증착시키기 위해 특별히 계산된 속도에서 이동할 것이나, 기판(114)이 더 이상 증착 영역내에 없을 때에는, 상기 속도는 증가될 것이다. 이것은, 기판(114)이 비-증착 처리되는 동안에 빨리 운반될 수 있기 때문에, 더 큰 효율성을 허용한다.
제어기(91)는 기판 캐리어, 핀 플레이트, 셔틀, 트랙위의 피니언, 밸브, 및 다른 관련 시스템 구성들의 운동과 같은 시스템의 다양한 기능들을 제어하기 위해 사용된다. 제어기(91)는 메모리에 저장된 시스템 제어 스프트웨어를 실행하도록 구성된 프로그램가능한 마이크로프로세서를 포함할 수 있고, 그것은 하드 디스크 드라이브의 형태일 수 있으며, 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드, (도시 되지 않은)스테퍼(stepper) 모터 제어기 보드, 및 다른 달려진 제어 구성 부분을 포함할 수 있다. 제어기(91)은 또한 시스템의 구성부분으로의 전기 전력을 제어하고 시스템의 작동을 감시하고 바꾸기 위한 조작자(operator)가 접근할 수 있는 패널을 포함할 수 있다. (도시되지 않은)광학 및/또는 자기적 센서가 제어기와 협력하여 움직일 수 있는 구조 및 조립체의 위치를 결정하고 이동시키기 위해 일반적으로 사용될 수 있다.
도 8은 유입 및/또는 유출 로드 록 챔버(92, 94) 각각에 위치될 수 있는 전형적인 셔틀(118)의 개략적인 투시도이다. 각 셔틀(118)은 일반적으로, 제 1측면(194) 및 제 2측면(196)을 따라, 제 1첨단(190) 및 제 1첨단(190)에 대향한 제 2첨단(192)를 포함한다. 다수의 지지 핑거(support finger)(198a-198f)(일반적으로 본 명세서에서는 지지 핑거(198)로 언급된다)는, 측면(194, 196) 및 첨단(190, 192)에 대한 각도에서 또는 가로질러서와 같이, 일반적으로 셔틀(118)의 바깥쪽 원주로부터 안쪽으로 확장한다. 각 셔틀은 제 1측면(194)를 따라 제 1측면 레일(200) 및 제 2측면(196)을 따라 제 2측면 레일(202)를 포함할 수 있다. 상기 측면 레일(200, 202)은 십자형 부재(217, 218)에 의해 서로로부터 이격되어 평행하다. 십자형 부재(217 및 218)는 핀 플레이트(132, 134)가 지지 핑거(198)로부터 기판(114)을 들어 올리도록 시스템에서 처리되는 기판의 두께보다 더 큰 거리로 지지 핑거(198)로부터 이격되어 있다. 그런 후에, 각각의 핀 프레이트(132, 134)가 기판(114)을 계속 지지하는 동안 셔틀(118, 120)은 각각의 핀 플레이트(132, 134)로부터 끌어들여질 수 있다. 이와 같이 셔틀(118)로부터 캐리어(122)로 이동할 때, 기판(114)이 셔틀(118)에 의해 지지로부터 완전히 제거되고 캐리어(122)위에 놓여지도록, 기판(114)은 지지 핑거(198)로부터 들어 올려지고 십자형 부재(218 및 217) 중 하나 아래에서 전송될 것이다. 셔틀(118)의 측면 레일(200 및 202)은 각각 셔틀(118)에 이동성을 주기 위해 하부 면(209, 210) 위의 톱니모양의 랙(rack)(206, 208)을 포함할 수 있다. 톱니모양의 랙(206 및 208)은 톱니(204 및 205)를 각각 포함하고, 이는 회전하는 피니언 기어(128)를 맞물리도록 적용된다. 각각의 레일위에 안으로 계단진 표면(214, 216)은, 도 9에서 도시되는 바와 같이, 에워쌓여진 안내 롤러(126)를 맞물리도록 적용된다.
지지 핑거(198)의 첨단은 지지 핑거로부터 위로 확장하는 하나 이상의 지지 패드(220a-220f)(일반적으로 지지 패드(220))을 포함할 수 있고 그 위에 기판(114)이 측면으로 지지된다. 핑거 안내(222a-222f)(일반적으로 핑거 안내(222))가 지지패드(220)으로부터 바깥쪽으로 배치되고 기판(114)이 측면으로 위치될 수 있는 대향 표면을 형성한다. 지지 핑거(198)는 핀 플레이트(132)에 핀(144)이 지지 핑거 (198) 위로 기판(114)을 맞물리고 지지하도록 셔틀(118)위에 위치된다. 핀(144)에 의해 기판(114)이 맞물릴 때, 셔틀(118)은 핀(144)에 의해 지지된 기판(114)을 남겨두고 처리 챔버(96)으로부터 끌어들여진다. 그래서, 지지 핑거(198) 및 십자형 부재(217, 218)의 하부는, 도 6에 도시된 바와 같이, 캐리어(122)의 표면을 수용하는 상부 기판보다 일반적으로 더 높이 위치되고, 이는 셔틀(118)이 기판 운반을 위한 캐리어(122)위로 위치되도록 한다. 셔틀(118)은 약 600℃ 또는 이상의 온도에 노출될 수 있으며, 따라서 셔틀(118)은 스테인레스 스틸, 세라믹, 인바(Invar 36, 등록상표), 또는 막 증착 처리 환경에 적당한 다른 내열성 물질로 만들어질 수 있다. 유사하게, 지지 패드(220)은 바람직하게는 세라믹, 스테인레스 스틸, 쿼쯔(quartz) 또는 다른 내열성 물질로 만들어진다. 상기 설명은 일반적으로 유입 로드 록 챔버(92)내의 셔틀(118)에 대한 것이지만, 유출 로드 록 챔버(94)내에 위치된 대응하는 셔틀(120)은 셔틀(118)과 설계 및 제조에서 유사하다.
도 9는 챔버의 개략적인 부분 단면도로서, 레일200) 및 트랙(123 또는 125)의 배열을 도시한다. 에워싸여진 슬롯(slot)(230)이 셔틀(118)의 레일(200)이 내부 벽의 개구(234)안으로 확장하도록 내부 챔버 벽(233)에 위치될 수 있다. 레일(200)의 안에 놓인 부분(214)은, 도 6에 도시된 트랙(123 및 125)위에서와 같은, 안내 롤러(126)를 맞물릴 수 있다. 슬롯(230)은 또한 트랙(123 및 125)으로부터 처리 환경을 분리함으로서 안내 롤러(126)에 의해 유발된 오염물을 제거하여, 차례로 레일 구성부분의 입자가 박리되어 기판 처리 영역으로 떨어질 가능성을 감소시킨다. 여기에서 기술되지 않았다 하더라도, 유사한 배열이 트랙(124)위의 캐리어(122)에 대해 제공될 수 있다.
도 10은 셔틀(118)에 맞물린 피니언(128)을 도시하는 다른 챔버의 개략적인 단면도이다. 상기 외부 챔버벽은 이 개략도에서 도시되지 않는다. 구동 메커니즘(240)은 챔버(92) 안으로 확장하는 구동 샤프트 조립체(244)에 결합된, 유입 로드 록 챔버(92)와 같은, 특정 챔버의 내부 벽(233)에서 외부로 향한 모터(242)를 포함한다. 모터(242)는 셔틀 및/또는 캐리어를 다른 방향으로 움직일 수 있는 역회전할 수 있는 모터일 수 있다. 상기 모터는 역회전할 수 있는 하나 이상의 기어 박스를 포함할수 있다. 구동 샤프트 조립체(244)는 관련 챔버의 제 2 측면(232')에 인접한 제 1 피니언(128) 및 제 2 피니언(128')에 결합될 수 있다. 구동 샤프트 조립체(244)는 또한 제 1 피니언(128)의 안에 놓여 배치된 제 1 안내 롤러(126) 및 제 2 피니언(128')의 안에 놓여 배치된 제 2 안내 롤러(126')에 결합될 수 있다. 피니언(128)은, 도 9에 도시된 바와 같이, 톱니모양 랙(206)과 맞물려서 구성되고, 피니언(128')은 셔틀(118)의 톱니모양 랙(208)과 맞물려서 구성될 수 있다. 구동 메커니즘(240)은 또한 제어기(248)에 입력을 제공하는 인코더(encoder)(246)를 포함할 수 있어서, 구동 샤프트 조립체(244)의 회전에 응답할 수 있다. 제어기(248)은 구동 메커니즘 또는 이들의 몇가지 조합의 순차 또는 동시 작동을 위해 하나 이상의 구동 메커니즘(240)을 연결할 수 있다.
도 11은, 도 10에 도시된, 구동 메커니즘(240)의 택일적인 실시예의 개략도로서, 여기에서 모터(242)가 구동 샤프트 조립체(244)없이 피니언(128)을 구동한다. 다수의 측면 안내 롤러(249, 250)가, 도 6-도 7에 도시된 바와 같이, 트랙(123 및 125) 위의 안내 롤러(126)에 인접한 특정 챔버에 실장(mount)된다. 유사한 배열이 트랙(124)위의 캐리어(122)에 제공될 수 있다. 측면 안내 롤러(249, 250)은 셔틀 또는 캐리어가 각각의 트랙을 따라 정렬된 측면 방향으로 이동하는 것을 보장하기 위해 셔틀(118)(또는 캐리어(122))위의 위쪽으로 확장하는 안내 레일(252)을 맞물린다. 안내 롤러(126, 126')는 셔틀 또는 캐리어를 지지한다. 안내 롤러는 테프론(Teflon, 등록상표)-코팅된 알루미늄, 베스펠(Vespel, 등록상표), 또는 미립자를 생성하지 않고 진동을 완충작용할 만큼 부드러운 어떤 다른 물질일 수 있다.
도 12는 기판 캐리어(122)의 개략적인 투시도이다. 캐리어(122)는, 알루미늄 또는 구리와 같은, 열적 전도성이 있는 물질 또는 비드 블레스트된(bead blasted) 및/또는 양극화(anodize)된 알루미늄으로부터 제조된다. 대상의 비드 블레스트한 표면은 표면의 복사율(emissivity)을 증대시킬 수 있다. 복사율은 일반적으로 같은 온도에서 흑체로부터 방출된 방사량과 관련 표면으로부터 방출된 방사의 비율로서 정의된다. 높은 복사율을 가진 표면은 양극화 또는 비드 블레스트한 표면 또는 그들의 조합과 같은 것에 의해 처리되지 않은 표면에 대해 복사율을 증가시키는 표면 처리에 의해 형성될 수 있다. 예를 들어, 처리되지 않은 알루니늄 표면의 전형적인 복사율은 약 0.03이고 높은 반사성이 있다. 상기 표면 복사율은 표면을 양극화하는 것에 의해 약 0.2 내지 약 0.4의 범위에서 일반적으로 증가할 수 있고 비드 블레스트 및 양극화한 표면에 의해 약 0.6까지 더 높아질 수 있다. 비드 블레스트하는것은, 예를 들어, 평방인치당(psi) 약 80파운드(pound)의 공기압을 가지고 노즐을 통해 약 36 그리트(grit) 크기의 가넷(garnet)을 방출하여 상기 알루미늄이 회색으로 변할 때까지 알루미늄 표면을 때림으로써 이루어질 수 있다. 다른 압력, 물질 및 그리트 크기가, 당해 기술분야에서 공지된 것과 같이, 비드 블래스트하기 위해 사용될 수 있다.
위에서 간략하게 논의된 바와 같이, 캐리어(122)는 톱니(268)와 제 1측면(264)위에 안쪽으로 계단진 표면(269)을 가진 톱니모양 랙(260), 및 톱니(270)와 제 2측면(266) 위에 안쪽으로 계단진 표면(267)을 포함할 수 있다. 이러한 톱니/랙 조합은 상기 기술된 구동 맞물림 부재를 형성할 수 있다. 랙(260 및 262)는 일반적으로, 도 8에서 도시된 바와 같이, 운반 셔틀(118)의 랙(206 및 208)과 유사하고, 같은 방식으로 안내 롤러(126) 및 피니언(128)을 맞물린다. 캐리어(122)는 일반적으로 표면과 같은 플레이트 위의 기판(114)을 지지하고 기판이 그 위에서 지지되도록 방열판(heat sink)를 제공한다. 다수의 홀(276a-276f)(일반적으로 홀 276)은, 도 13에 도시된 바와 같이, 거기를 통과하는 핀 플레이트(132)로부터 확장하는 핀(144)을 수용하기 위해 캐리어(122)에서 형성된다. 캐리어(122)는 일반적으로 기판(114)보다 약간 더 크고 기판(114)을 측면으로 맞물리기 위해 그위에 배치된 안내 스톱(stop)(278a-278f)(일반적으로 안내 스톱(278))을 가진다. 덧붙여, 본 발명에 명백히 포함되지는 않았지만, 그위에 위치된 기판의 온도를 조정하기 위한 가열 및/또는 냉각 디바이스를 갖춘 캐리어(122)를 제공하는 것은 본 발명의 범위내에 있다. 나아가, 캐리어(122)가 기판을 지지하기 위해 거기에 형성된 다수의 홀을 가진 표면과 같은 기판을 가진 것으로서 전형적인 실시예에서 기술되고 있지만, 기판을 지지하기 위한 다른 알려진 구성이 본 발명의 범위내에서 예상될 수 있다.
처리 동안 및/또는 후에 캐리어(122)의 온도를 조정하기 위해, 온도 제어가능한 핀 플레이트(132)와 같은, 가열/냉각 메커니즘이 각각의 로드 록 챔버(92 및 94)에 인접한 처리 챔버에 제공될 수 있다. 도 13a 및 도 13b는 가열 및/또는 냉각 능력 중 하나를 가진 전형적인 핀 플레이트(132)를 도시한다. 작동동안에, 핀 플레이트(132)는 캐리어(118)와 그 위에 위치된 기판(114)의 온도를 선택적으로 변화시키기 위해 캐리어(122)와 접촉하여, 또는 바로 인접하여 위치되어, 운반될 수 있다. 캐리어 온도에서의 변화의 결과로서, 그 위에 지지된 기판의 온도 또한 변화한다. 핀 플레이트(132)는 그 위에 배치된, 핀 플레이트(132)의 표면(282)으로부터 위쪽으로 확장하는, 다수의 핀(144a-144f)(일반적으로 핀(144))을 가지고 있다. 핀(144)은 핀(144)이 거기를 통과할 수 있도록 하기 위한 캐리어(122)내의 홀(276)과 협력하여 배치된다. 예를 들어, 원하는 처리 구성이 핀 플레이트(132)의 냉각을 필요로 한다고 가정하면, 핀 플레이트(132) 온도는 물, 글리콜(glycol), 또는 다른 적당한 유체와 같은 냉각제가 통과될 수 있는 플레이트(132)에 형성된 채널(284)를 제공함으로써 제어될 수 있다. 입구 라인(148)은 채널(284)에 냉각제를 전달할 수 있고 출구 라인(150)은 냉각제가 핀 플레이트(132)로부터 멀리 전송되도록 도관(conduit)을 제공할 수 있다. 예를 들어, 채널(284)는 두개의 플레이트의 각각 절반에 있는 채널(284)의 일부를 형성함으로서 형성될 수 있다. 그래서, 각각의 절반은 일체의 플레이트를 형성하고 함께 채널(284)를 형성하도록 실드 및/또는 고정될 수 있다. 택일적으로, 채널(284)은 유체통로를 형성하기 위해 핀 플레이트(132)의 다른 측면 및 첨단을 통해 드릴링함으로서 형성될 수 있다. 그러나, 드릴링 방법이 사용된다면, 많은 외부 드릴 홀을 틀어막아, 입구를 입구 라인(148)에 연결하고 출구를 출구 라인(150)에 연결하도록 남겨두는 것이 필요하다. 또한, 표면(282)은 열 전달 특성이 향상되도록, 비드 블레스팅 및/또는 양극화와 같은, 높은 복사율 표면인 것이 바람직하다.
기판(114)이 캐리어(122)와 셔틀(118) 사이에 기판(114)을 운반하기 위해 핀 플레이트(132)에 의해 들여올려질 때, 핀 플레이트(132)는 일반적으로 캐리어와 접촉하게 되고, 그래서 캐리어(122)의 온도를 낮춘다. 택일적으로, 위에서 논의된 바와 같이, 핀 플레이트(132)는 핀 플레이트(132)를 통해 가열된 유체를 흘림으로써 캐리어(122)를 가열하기 위해 사용될 수 있다. 캐리어(122)를 가열/냉각함으로서, 기판(114)의 온도는 또한 최적의 처리를 위한 바람직한 온도로 올려지거나 낮춰질 수 있다. 상기 온도는 냉각 또는 가열하기 위한 핀 플레이트(132)와 캐리어(122) 사이의 물리적인 접촉의 지속이 바람직한 최적 처리 온도를 유지하도록 조정될 수 있게 하기 위해 모니터될 수 있다. 도 7에 도시된 바와 같은, 샤프트(136) 및 리프트 모터(140)는 핀 플레이트가 셔틀(118)과 캐리어(122)사이에 기판을 운반할 때 핀 플레이트(132)를 올리고 낮추도록 사용될 수 있다. 핀 플레이트(134)는 핀 플레이트(132)처럼 구성되고 유사하게 제조될 수 있다.
도 14는 도 6-도 7에 도시된 연속적인 증착 시스템의 다른 전형적인 실시예의 측면도이다. 도 14에 도시된 시스템은 챔버(96)의 일반적 처리 영역 아래 위치된 플레이트(300)를 포함한다. 플레이트(300)는 핀 플레이트(132)와 구성에서 유사하여, 플레이트(300)의 온도는, 핀 플레이트(132)에 대해 기술된 바와 같이, 유체 통로 및/또는 가열 요소를 통해 선택적으로 조정될 수 있다. 도 15는 플레이트(300)에 형성된 전형적인 유체 채널(302)를 도시하는데, 그에 의해 유체 채널 및 플레이트(300)사이의 열적 접촉을 증가시킨다. 도 16은 필연적으로 격자(grid) 형태인, 그 안에 형성된 다수의 홀을 가진, 고체 플레이트(300)을 도시하는데, 일단의 홀들은 플레이트(300)으로 유체를 흘려보내기 위해 사용될 수 있고 일단의 홀들은 플레이트(300)의 밖으로 유체를 흘리기 위해 사용될 수 있다. 남아있는 몇몇 홀들은 폐쇄 시스템을 유지하기 위해 틀어막히거나 그렇지 않으면 실드되어야 한다. 플레이트(300)는 핀 플레이트(132)와 같은 양식으로 냉각되지만, 필요하다면, 플레이트(300)는 가열될 수 있다. 예를 들어, 플레이트(300)는 직사각형 모양일 수 있고 처리 챔버(96)의 측벽사이에 또는 챔버의 최하부에 연결된 스탠드(stand)(307)에 지지되는 것처럼 여러 위치에서 실장될 수 있다. 플레이트(300)는 유체 입구(304) 및 유체 출구(306)를 포함할 수 있고, 그들 모두는 플레이트 바로 아래로부터 또는 플레이트 측면상에 위치될 수 있다. 나아가, 플레이트(300)은 플레이트(300)의 온도를 증가시키기 위해 플레이트(300)내에, 또는 택일적으로, 거기에 가까이에 위치된 내열 요소를 포함할 수 있다. 그래서, 플레이트(300)은 처리 챔버내 많은 표면으로부터/으로 복사에 의해 열을 전달 및/또는 흡수함으로서 처리 챔버(96)내 온도를 올리거나 낮추도록 구성될 수 있다. 플레이트(300)은 챔버, 챔버내 구성 부분, 및/또는 챔버에 의해 처리될 기판의 온도를 제어하도록 냉각된 핀 플레이트(132, 134)와 협력하여 사용될 수 있다. 플레이트(300)의 최상부 부분(308)과 같은, 챔버의 높은 온도 표면은 그것의 복사율 특성을 증가시키도록, 예를 들어 상기 논의된 비드-블레스팅 또는 양극화 처리를 통해, 처리될 수 있다. 복사율에서의 증가는 일반적으로, 기판(114)을 지지하는 캐리어(122)을 포함하여, 처리 챔버(96)내부의 다른 표면으로 플레이트(300)의 복사 온도의 전달을 돕는다. 도 15 및 도 16은 각각 플레이트(300)의 전형적인 최상부 및 측면 도이다. 플레이트(300)은 거기에 형성된 하나 이상의 채널을 가진다. 핀 플레이트(132 및 134)와 유사한 양식으로, 채널(302)는 채널을 완성시키기 위해 함께 결합된 두 개의 플레이트에 형성될 수 있다. 택일적으로, 채널(302)은 플레이트(300)의 많은 측면을 통한 드릴링에 의해 형성될 수 있고, 그 후에, 유체가 할당된 입구 및 출구쪽으로 플레이트(300)을 통해 냉각제의 흐름을 이끌도록 플레이트 측면에서 채널의 부분을 실링한다.
도 6-도 13에 도시된 시스템의 실시예는 일반적으로 단일 배열의 챔버를 나타낸다. 본 발명은 다중 배열의 챔버에 공급하는 중앙 수용 스테이션으로서 측면 대 측면 또는 첨단 대 첨단으로 배치된 다중 배열의 챔버에 적용될 수 있다. 나아가, 본 발명은 다양한 실질적으로 선형인 처리 챔버 구성을 포함하고, 더 나아가, 예를 들어, 원 또는 타원형 구성과 같은 연속적인 루프(loop)구성을 사용하는 것을 포함한다. 도 17은 유입 로드 록 챔버(92), 처리 챔버(95, 96), 유출 로드록 챔버(94), 및 로봇을 가진 선형 증착 시스템의 최상부도이다. 상기 시스템은 유입 로드 록 챔버(92)에 한쪽 첨단 및 유출 로드 록 챔버(94)에 다른 한쪽 첨단위에 결합된 일체 배열의 처리 챔버(95, 96)을 포함한다. 카세트(310, 312 및 314)는 대기 조건에서 동작되는 트랙 로봇(316)을 지지하는 트랙(318)의 한쪽 첨단에 배치된다. 각 로드 록 챔버는 트랙 로봇(316)에 결합된다. 상기 시스템은 도 6-도13을 참조하여 서술된 챔버의 배열과 유사하게 배열된다. 기판은 로봇(316)에 의해 유입 로드 록 챔버(92)안으로 이동되고, 처리 챔버(95, 96)으로 운반되며, 처리되고, 서술된 바와 같이 유출 로드 록 챔버(94)로부터 끌어내어진다.
도 18은 챔버의 양쪽 배열이 트랙 로봇(316)에 결합되어 있는 도 17의 시스템의 변형을 도시한다. 챔버의 제 1배열은 한쪽 첨단이 제 1 유입 로드 록 챔버(92a)에 결합되고 다른 쪽 첨단에 제 1 유출 로드 록 챔버(94a)에 결합된 처리 챔버(95a, 96a)를 포함한다. 챔버의 제 2배열은 한쪽 첨단이 제 2 유입 로드 록 챔버(92b)에 결합되고 다른 쪽 첨단에 제 1 유출 로드 록 챔버(94b)에 결합된 처리 챔버(95b, 96b)를 포함한다. 처리 비율은 챔버의 제 1배열내의 제 1 유입 로드 록 챔버(92a)로 제 1 기판(114a)을 로딩하고, 제 1 기판(114a)이 처리되는 동안 챔버의 제 2배열 내의 제 2 로딩 로드 록 챔버(92b)로 로드된 제 2 기판(114b)을 로딩하는 로봇(316)에 의해 증가될 수 있다. 유사하게, 기판(114a)은 기판(114b)이 처리 챔버(96b)로부터 제 2유출 로드 록 챔버(94b)로 운반되고 로봇(316)에 의한 제거를 기다리는 동안 로봇에 의해 언로드될 수 있다. 챔버내에서의 타이밍과 순서에 따라, 챔버의 셋 또는 네개의 배열이 중앙 로딩 영역을 로봇으로서 교차하는 것과 같은, 다른 구성이 가능할 수 있다.
선형 증착 시스템의 작동
일반적으로 도 6 및 도 7을 참조하면, 동작할 때, 예를 들어 기판(114)는 유입 로드 록 챔버(92)내의 밸브(102)를 통해 로봇(110)에 의해 유입 로드 록 챔버(92)로 운반될 수 있다. 로봇(110)은 운반 셔틀(118)위에 배치된 지지 핑거(198)위에 기판(114)을 배치한다. 로봇(110)은 유입 로드 록 챔버(92)로부터 끌여당겨지고 밸브(102)가 닫힌다. 유입 로드 록 챔버(92)는, 예를 들어, CVD 처리를 위해 약 10 mTorr 내지 50 mTorr 및 (도시되지 않은)진공 소오스에 의한 PVD처리를 위해 약 1 mTorr 내지 5 mTorr의 범위에서 진공상태로 된다. 일부 로드 록 챔버에서, 기판(114)는 또한 가열 램프, 저항 코일, 잠열/내열 유체 흐름 디바이스, 및/또는 다른 가열/냉각 디바이스에 의해 미리 결정된 처리 온도로 가열/냉각될 수 있다. 처리 챔버(96)에 대한 차단 밸브(98)가 열리고 셔틀(118)이 셔틀(118)위의 랙(204)을 교대로 맞물리는 피니언(128)에 의해 트랙(123)을 따라 이동된다. (도시되지 않은)센서가 셔틀(118)의 위치를 결정하고 셔틀 운동을 조정하도록 구성된 제어기(248)에 입력을 제공한다.
처리 챔버(96) 내부에서, 캐리어(122)는 캐리어(122)위의 랙(260)을 교대로 맞물리는 피니언(128)으로서 캐리어(122)을 움직여서 핀 플레이트(132) 위로 위치된다. 셔틀(118)은 캐리어(122)과 핀 플레이트(132)로부터의 핀(144) 위로 위치되도록 운반되고 정렬된다. 리프트 모트(140)은 캐리어(122)과 접촉하고 있는 핀 플레이트(132)의 핀(144)를 교대로 상승시키는 샤프트(136)를 들어올린다. 핀(144)는 캐리어(122)내 홀(276)을 통해 위쪽으로 확장하고, 그래서 기판을 지지하는 셔틀(118) 위의 지지 핑거(198)로부터 기판을 들어올린다. 캐리어(122)을 통해 핀(144)의 완전히 확장할 때, 캐리어(122) 자체가 핀 플레이트(132)의 지지 표면에 의해 맞물리고, 그래서 캐리어(122)는 또한 핀 플레이트(132)에 의해 들어올려진다. 그 후에, 핀 플레이트(132)에 의해 올려진 캐리어(122)와 기판 모두를 가진, 셔틀(118)은 유입 로드 록 챔버(92)로 끌어당겨지고 차단 밸브(98)가 닫혀서, 그안에 기판(114)을 가진 처리 챔버(96)을 실링한다. 리프트 모터(140)은 샤프트(136)와 핀 플레이트(132)를 낮추고, 그래서 기판(114)을 지지하는 핀(144)를 낮춘다. 기판(114)은 그래서 지지 표면을 캐리어(122)에 접촉하게 되고, 핀(144)은 핀이 적어도 캐리어(122) 아래로 낮춰질 때까지 계속 낮춰진다. 그 후 캐리어(122)는 캐리어 위치를 감지하고 제어기(248)에 대해 입력을 제공하는 (도시되지 않은)센서를 가진 캐리어(122)위의 랙(260)을 맞물리는 피니언(128)에 의해 트랙(124)을 따라 이동될 수 있다. 안내 롤러(126)은 트랙(124)을 따라 이동될 때 캐리어(122)을 접촉하여 트랙(124)를 따라 캐리어(122)의 정렬을 유지하는 것을 돕는다. 택일적으로, 핀(144)는 핀 플레이트(132)로부터 분리되고, 예를 들어, 핀에 결합된 (도시되지 않은)다른 리프트 모터를 사용함으로써 핀 플레이트(132)를 독립적으로 들어 올리고 낮춰질 수 있다. 핀 플레이트로부터 핀을 분리함으로써, 핀 플레이트는 핀이 기판을 들어올리고 하강시키는 동안 더 오래 캐리어와 접촉할 수 있다. 나아가, 핀 플레이트(132)가 캐리어(122)과 접촉하는 시간 주기 동안, 핀 플레이트(132)는 여기서 논의된 가열 및/또는 냉각 구조의 사용을 통해 캐리어(122)로부터/로 열을 전달 및/또는 수용할 수 있다.
그 후 캐리어(122)는 일반적으로 처리를 위한 위치로 운반된다. 예를 들어, 상기 처리가 스퍼터링을 포함한다면, 캐리어(122)는 하나 이상의 타겟(156, 158, 160 및 162) 아래 위치로 이동된다. 전력이 상기 타겟을 바이어스(bias)하기 위해 타겟에 공급되어 플라즈마가 생성된다. 플라즈마로부터의 이온이 타겟과 충돌하여 타겟으로부터 물질을 이탈시킨다. 이탈된 물질중 일부는 기판(114)쪽의 경로로 진행하고 그위에 증착된다. 칸막이(171, 173, 175, 177 및 179)는 인접한 처리 구역 및/또는 다른 타겟으로부터 스퍼터되는 특정 타겟을 고립시키는 것을 돕는다. 기판(114)의 맨 앞 에지는 처리 구역(172, 174, 176 및 178)의 배열에서 다음 처리 구역으로 이동되고, 그래서 다른 처리 구역은 다른 물질로 만들어진 타겟을 가지게 된다. 전력은 다음 처리 구역내의 타겟에 공급되고, 타겟은 스퍼터되며, 타겟 물질은 전에 증착된 물질위로 증착된다. 상기 처리는 기판이 특정 처리 챔버(96)에 대한 통제를 가지고 처리될 때까지, 필요한 대로의, 처리 구역(172, 174, 176 및 178)의 배열을 통해 계속된다. 여기서 서술된 바와 같이, 처리 구역은 기판이 처리되는 구역보다 같거나 더 큰 크기일 것을 요구되지 않는데, 왜냐하면 본 발명은 기판이 다중 처리 구역을 통해 동시에 이동하는 것을 허용하기 때문이다. 일단 기판이 처리 구역을 통해 통과되면, 캐리어(122)는 유출 로드 록 챔버(94)와 인접하여 배치된 핀 플레이트(134) 위의 위치로 이동되고 그와 정렬한다. 택일적으로, 다른 처리 챔버가 처리 챔버(96)와 연속하여 결합된다면, 캐리어는 다음 챔버로 이동될 수 있거나 기판이 다음 처리 챔버내의 다른 캐리어로 운반될 수 있다. 핀 플레이트(134)가 들어 올려지면, 핀(146)이 캐리어(122)을 통해 확장될 수 있어서, 캐리어(122) 위로 기판(114)을 들어올릴 수 있다. 유출 로드 록 챔버(94)내의 운반 셔틀(120)은 유출 로드 록 챔버(94)와 처리 챔버(96)사이의 차단 밸브(100)을 통해 유출 로드 록 챔버(94)로부터 트랙(125)를 따라 이동된다. 이런 이동이 들어올려진 기판(114) 아래에 배치된 핑거(198)로서 일반적으로 캐리어(122) 아래에 셔틀(120)을 위치시킨다. 모터(142)는 셔틀(120)의 핑거(198) 위로 기판(114)을 하강시키는 핀(146)을 낮춘다. 셔틀(120)은 유출 로드 록 챔버(94)안으로 차단 밸브(100)을 통해 되돌아 이동하고 차단 밸브(100)는 처리 챔버를 다시 실링하기 위해 닫힌다. 유출 로드 록 챔버(94)는 로봇(112)이 추가 처리를 위해 기판(114)을 인출하도록 밸브(104)를 개방하기 전에 기판에 대해 냉각을 제공할 수 있다. 캐리어(122)로의 운반을 대기하는 유입 로드 록 챔버(92)내의 기판(114), 처리 챔버(96)에서 처리될 기판(114), 및 유출 로드 록 챔버(94)의 밖으로의 운반을 대기하는 기판(114)과 같은, 다수의 기판이 챔버에 동시에 배치될 수 있다.
그 후 캐리어(122)는 유입 로드 록 챔버(92)로부터 다른 기판을 위한 위치로 유입 로드 록 챔버(92)쪽으로 트랙(124)를 따라 되돌려 이동될 수 있다. 택일적으로, 본 발명의 처리 시스템은 연속적인 처리 챔버가 되도록 구성될 수 있는데, 예를 들어, 처리 챔버는 연속적인 루프를 형성하도록 배치된 다수의 선형 챔버를 포함할 수 있다. 전형적인 실시예에서, 캐리어(122)는, 처리 작동 내내, 처리 챔버(96)내에 또는 적어도 처리 압력 아래에서, 유지된다는 것이 유의되어야 한다. 그래서, 캐리어(122)는 이전의 처리 시스템의 단점인 오염물과 배기(outgassing)에 노출되지 않는다. 택일적으로, 처리 챔버 순서는 융통성이 있을 수 있어서, 각 로드 록 챔버는 로딩 및 언로딩 로드 록 챔버 둘 모두로서 사용될 수 있다. 처리를 위한 기판은 유출 로드 록 챔버(94)로부터 캐리어(122) 위에 놓여지고 유입 로드 록 챕버(92)쪽으로 처리 챔버(96)를 통해 되돌려질 수 있고, 그곳에서 기판이 로딩 로드 록 챔버 내부로 로딩을 위해 이동된다. 이런 타입의 구성은 필연적으로 양-방향성 처리 챔버를 생성하고, 그곳에서 첨단 스테이션은 처리된 기판 뿐만 아니라 블라인드(blind) 기판에 대해 로딩 및 언로딩 스테이션 둘다로서 작용하고, 따라서 처리 장치의 효율성을 증가시킨다.
위에서 간략하게 언급된 바와 같이, 캐리어(122)는 일반적으로 처리 환경을 떠나지 않고, 그래서 온도 변화, 열적 순환 및 주위 조건에 노출되는 것과 관련된 가스 빠짐에 노출되지 않는다. 그래서, 캐리어(122)는, 도 2에 도시된 시스템에 과해 논의된 바와 같이, 오염물 환경에 대해 노출이 제한된다. 셔틀(118 및 120)은 단순하게는 처리 챔버(96)에 위치되지만, 각각의 로드 록 챔버(92, 94)에 주로 맞물려서, 캐리어(122)가 수용하는 물질의 증착 또는 다른 처리 결과를 수용하지 않는다. 그래서, 본 발명은 다른 지지 구성 부분을 적어도 주로, 그리고 일반적으로는 전체적으로, 처리 환경에 맞물린 그런 구성부분들과 적어도 주로 비-처리 환경에 맞물린 그런 구성부분으로 분리한다. 본 발명은 또한 온도 제어가능한 플레이트와, 증착 사이클 사이에 또는 셔틀로부터 및 셔틀로 기판을 로딩 또는 언로딩할 때와 같은, 간헐적으로 접촉하는 것에 의해, 또는 캐리어 온도에 영향을 미치기 위해 플레이트 근처에 위치시킴으로서 처리 환경에 맞물린 캐리어의 온도를 제어하는 것을 돕는다. 특히, 캐리어는 캐리어의 평군 온도가 기판 처리의 열에 의해 위로 느리게 상승("creeping")하는 것을 피하기 위해 온도 제어 가능한 플레이트에 의해 냉각될 수 있고 택일적으로, 캐리어는 바람직한 처리 온도로 기판을 상승시키도록 가열될 수도 있다. 어느 경우든, 본 발명의 온도 제어 특성은 지속적인 처리 환경을 생성하기 위해 일반적으로 이용되고, 그것은 이제는 더 균일한 막을 생산하게 한다.
제 1 예시적 처리-ITO/MoCr 스퍼터된 증착
본 발명의 처리 시스템은 다른 재료 및 처리 통제를 가지고 매우 다양한 처리에서 사용될 수 있다. 다음 예시는 단지 반응성 및 비-반응성 처리 구역을 사용하는 한가지 가능성을 설명하고, 도 19-도 23의 측면도에 개략적으로 표현되어 있다. 평면 유리 패널을 위한 유리 기판에 현재 증착되어 있는 한가지 물질은 인듐 주석 산화물(ITO)이다. 하나 이상의 몰리브덴 크롬화물(MoCr) 층이 통상적으로 ITO층에 증착된다. 평면 유리 기판에 대한 전형적인 물질의 다른 실시예는 Cr, ITO, CrO, Ta 및 Al을 포함한다.
기판은 유입 로드 록 챔버(92)내의 셔틀(118)위로 로드될수 있고, 진공상태는 약 1mTorr 내지 약 50 mTorr의 유입 로드 록 챔버(92)에서 생성되고, 셔틀(118)은 기판(114)을 처리 챔버(96)내로 이동시킬 수 있다. 기판(114)는 핀 플레이트(132)위로 위치된 캐리어(122)에 운반될 수 있고, 셔틀(118)은 유입 로드 록 챔버(92)로 되돌려지고, 핀 플레이트(132)는 낮춰진다. 도 19에서 도시된 바와 같이, 캐리어(122)는 기판(114)을 ITO 타겟(326)을 포함하는 제 1 처리 구역(320) 아래의 처리 위치로 이동시킬 수 있다. 아르곤 또는 다른 불활성 가스가 제 1 처리 구역에서 오염물을 없애는 것을 돕고 처리를 안정화시키기 위해서 약 34 표준 쿠빅 센티미터(sccm)의 비율로 처리 구역으로 유입될 수 있다. 이원자 산소가 또한 제 1 처리 구역으로 약 0.17sccm의 비율로 유입될 수 있다. 약 2000와트(watt)가 기판(114) 위 또는 근처에 위치된 ITO 타겟(326)에 인가될 수 있고 그 결과로서 플라즈마가 생성될 수 있고, 상기 ITO 타겟(326)은 약 40초 이내에 기판위에 약 500Å의 ITO층 두께를 생성시키도록 스퍼터될 수 있다. 도 20에 나타난 바와 같이, 기판(114)의 크기에 기인하여, 캐리어(122)는 제 1 처리 구역내의 기판(114)의 처리를 마치기 위해서 제 2 처리 구역(322) 및 제 3 처리 구역(324)를 지나쳐서 기판(114)의 부분을 이동시킬 필요가 있을 수 있다.
도 21에 나타난 바와 같이, 기판(114)은 제 1 처리 구역에 인접하거나 제 1 처리 구역으로부터 멀리 있을 수 있는 제 2처리 구역(322)으로 이동될 수 있다. 전형적인 실시예에서 기판의 크기와 제 2 처리 구역으로의 제 1 처리 구역의 근접성에 기인하여, 캐리어 방향은 기판(114)을 제 2 처리 구역(322)과 정렬하도록 이동시키기 위해 역으로 될 수 있다. 택일적으로, 제 2 처리 구역(322)은 기판(114)이 제 1 처리 구역(320)을 통해 동시에 이동할 때 증착을 위해 활성화될 수 있다. 이런 예에서, 제 2 처리 구역(322)은 MoCr 타겟(328)을 포함할 수 있다. 다른 물질을 증착시키기 위해, 유사한 물질의 대응 타겟이, 예를 들어 Cr, ITO, Ta, 및 Al 타겟을 포함하여, 산화 가스 및 다른 반응 가스와 함께 및 없이 사용될 수 있다. 반응 처리는 증착된 ITO 층 및 순차적인 층 사이에 응착을 촉진시키기 위해서 기판(114)위에 스퍼터된 MoCr의 산화층을 생성하기 위해 사용될 수 있다. 아르곤 또는 다른 불활성 가스는 일반적으로 약 30 sccm의 비율로 제 2 처리 구역(322)으로 유입된다. 이 원자 산소는 또한 MoCr 스퍼터된 물질과 반응시키기 위한 반응 가스를 제공하기 위해 약 30 sccm의 비율로 제 2 처리 구역(322)으로 유입될 수 있고 그래서 MoCrO의 산화 응착층을 생성할 수 있다. 약 100 와트가 기판(114)위에 위치된 MoCr 타겟(328)에 인가될 수 있고, 플라즈마가 그곳으로부터 생성되고, MoCr 타겟(328)이 약 4초 내에 약 14Å의 MoCrO 층 두께를 생성시키기 위해 산소 앞에 스퍼터될 수 있다.
도 22에 나타난 바와 같이, 캐리어(122)는 다시 방향을 역으로 하여 기판(114)을 다른 층에 대해 처리될 위치로 이동시킨다. 이 예에서, 비-반응 처리에서 MoCr의 2000Å두께 층이 MoCrO층에 증착될 수 있다. MoCr 타겟(328, 330)을 포함하는 처리 구역 둘다는 더 높은 처리 비율을 위해 사용될 수 있다. 아르곤 또는 다른 불황성 가스가 약 75sccm의 비율로 각 처리 구역으로 유입될 수 있다. 실질적으로 어떤 산소도 일반적으로 제 2 또는 제 3 처리 구역(322, 324)으로 각각 유입되지 않는다. 약 13000와트가 기판(114)위에 위치된 MoCr 타겟(328, 330) 각각에 인가될 수 있고, 플라즈마가 상기 전력의 결과로서 처리 구역(322, 324)에서 생성되고, MoCr 타겟(328, 330)은 약 44 초 동안에 약 2000Å의 MoCr층 두께를 생성하기 위해 스퍼터된다. 도 23에 나타난 바와 같이, 기판은 추가적 처리를 위한 유출 로드 록 챔버(94)로 운반되도록 처리 구역(320, 322, 324)으로부터 제거될 수 있다.
폴리 실리콘 기술
현재의 제조 기술은 일반적으로 비결정 실리콘 기술 또는 폴리 실리콘 기술 둘 중의 하나를 액정 디스플레이(LCD)와 같은 디바이스를 제조하기 위해 사용하고 있다. 비결정 실리콘 기술은 일반적으로 랩탑 및 데스크탑 PC 스크린과 같은 디바이스를 제조하기 위해 사용되고, 폴리 실리콘 기술은 일반적으로 디지털 카메라 스크린, 뷰캠(viewcam) 스크린 및 셀룰러(cellular) 전화기 타입 스크린을 제조하기 위해 사용된다. 클러스터 툴 구성으로 제조된 비결정 실리콘 기술이 일반적으로 LCD 타입 디스클레이에 대해 공통 기술로 알려져 있지만, 이런 기술은, 음극선관(CRT) 타입 디스플레이로 알려진 것과 유사한 양식으로, 제한된 해상도 특성이라는 단점이 있다. 택일적으로, 폴리 실리콘 기반 기술은 거의 모든 타입의 디스플레이에 대해 충분한 해상도 특성을 생성한다고 알려져 있다. 특히, 폴리 실리콘 기반 기술은 큰 평면 패널 디스플레이의 생성에 바람직한 것으로 보인다. 그러나, 현재의 제조 및 처리 기술은 폴리 실리콘 기술을 사용하는 큰 평면 패널 디스플레이의 제조에 대해 도움이 되지 못하는데, 이는 현재의 처리 챔버 구성이 일반적으로 큰 평면 패널 타입 디스플레이를 지지하기에 충분한 크기의 기판을 처리할수 없기 때문이다. 그러나, 본 발명의 새로운 구조 및 구성의 사용을 통해, 큰 기판이, 특히 큰 평면 패널 타입 디스플레이를 지지하기에 충분한 크기의 기판, 본 발명의 인-라인 타입 처리 챔버에서 제조/처리될 수 있다.
폴리 실리콘 기반 막을 제조하기 위해서는, 낮은 수소 비결정 실리콘 막이 일반적으로 필요하다. 일단 이러한 낮은 수소 비결정 실리콘 막이 생성되면, 막은 바람직한 결정 구조를 형성하기 위해 일반적으로, 예를 들면 막을 녹이기에 충분한 강도 및/또는 전력의 광 소오스에 의해, 가열되는데, 그것은 통상적으로 어닐링(annealing) 처리로 알려져 있다. 폴리 실리콘 막에 대한 그런 어닐링 처리를 위해 일반적으로 사용되는 광 소오스는 제논-염소(XeCl) 엑사이머(excimer) 레이저이다. 현재의 폴리 실리콘 기술은 주요한 처리 챔버 밖에 XeCl 엑사이머 레이저를 위치하는 것을 지원하는데, 챔버 내부에서 레이저의 사용은 막 특성을 악화시킬수 있는 처리 챔버의 온도 특성을 불균일하게 하는 경향이 있기 때문이다. 그러나, 처리 챔버 외부에 어닐링 레이저를 위치시키는 것은 처리된 막이 오염될 있는 가능성을 만드는데, 왜냐하면 막이 어닐링 레이저로 운반되어야만 할 때, 이는 보통 주위 대기에 막을 노출시키는 것을 포함하기 때문이다.
도 24는 폴리 실리콘 막을 생성하기 위한 전통적인 처리를 도시하고 있다. 전통적인 폴리 실리콘 기판 기술 처리는 화학적 기상 증착 처리를 통해 SiO2의 제 1층을 증착시킨다. 상기 제 1층은 일반적으로 약 3000 옹스트롬 정도로, 상대적으로 두껍다. 제 2층이 제 1 SiO2층의 최상부에 증착되고, 그곳에서 제 2층은 일반적으로 약 300 내지 500 옹스트롬 두께의 비결정 실리콘으로 형성된다. 그러나, 제 2층을 증착시기기 전에, 전통적인 기술이 알반적으로 그 위에 부착된 제 1청을 가진 기판을 제 2증착 챔버로 운반할 것이다. 제 2층의 증착이 완료될 때, 다중층 막이 제 3챔버 또는 어닐링 도구로 운반되는데, 그것은 일반적으로 막의 대기 조건에의 노출을 포함한다.
도 25는 전통적인 액정 디스플레이 박막 트랜지스터(TFT) 구조를 지원하도록 사용되는 폴리 실리콘 기판 막을 도시한다. 도 25에 도시된 전통적인 막은 그 위에 증착된 상대적으로 두꺼운 하부 층 막(252)을 가진 베이스 기판(251)을 포함한다. 이러한 하부 층 막(252)은 일반적으로 도 24에 도시된 3000 옹스트롬 두께의 제 1 SiO2막에 대응한다. 하부층 막 바로 위에는 예를 들어, 약 300 내지 500 옹스트롬 두께일 수 있는 폴리 실리콘 층(253)이 위치한다. 본 기술분야에서 알려진 바와 같이, 폴리 실리콘 층 바로 위에 게이트 SiOX 층(254)이 있고 게이트 층(255)가 뒤따른다. 덧붙여, S 도핑 영역(257)은 트랜지스터의 소오스가 되고, D 도핑 영역(256)은 트랜지스터의 드레인이 되며, 그것들은 폴리 실리콘 층(253) 바로 옆 및 하부 층(252) 위에 있는 것으로 도 25에 도시되어 있다.
본 발명의 처리 시스템의 실시예를 사용함으로서, 개선된 폴리 실리콘 기반 막이 제조될 수 있다. 특히, 어닐링 광 소오스가 처리 챔버내에 위치되어 있는 본 발명의 인 라인 처리 시스템을 사용함으로서, 개선된 폴리 실리콘 막이 생산될 수 있는데, 이는 상기 막이 처리 챔버로부터 대기 조건을 통해 어닐링 스테이션에 운반될 필요가 없기 때문이다. 대기 조건을 통한 운반 단계의 제거는 중요한데, 왜냐하면, 대기 조건에의 노출이 폴리 실리콘 막에 대한 오염물의 주요한 소오스이기 때문이다. 도 26에 도시된, 개선된 폴리 실리콘 막은 약 3000옹스트롬의 베이스 SiO2층을 포함할 수 있고, 그것은, 예를 들어, 물리적 기상 증착 처리를 통해 증착될 수 있다. 약 300-500옹스트롬의 비결정 실리콘 층인, 제 2층은 또한, 예를 들어, 물리적 기상 증착에 의해 증착될 수 있다. 엑시머 레이저를 가지고 비결정 실리콘 층을 어닐링하여 폴리 실리콘 층을 생성하는 것인, 도 26에 도시된 마지막 단계는 일반적으로, 위에서 언급된 바와 같이, 물리적 기상 증착 처리에서와 같은 챔버내에서 처리된다.
도 27은 도 26에 도시된 폴리 실리콘 막을 생산하기 위해 설계된 본 발명의 증착 시스템의 전형적인 구성을 도시한다. 이 구성에서, 유입 로드 록 챔버(92)는 주의 대기 및/또는 홀딩 카세트로부터 유입 로드 록 챔버(92) 및 밸브(98)를 통해서 처리 챔버(96)로 하나 이상의 세정 기판을 운반하기 위해 사용된다. 상기 운반은, 위에서 언급된 바와 같이, 일반적으로 셔틀(118), 캐리어(122) 및 플레이트(132)를 통해서 수행된다. 그래서, 캐리어(122)에 위치된 기판(114)의 온도는, 위에 언급된 바와 같이, 셔틀(122)로부터 캐리어(118)까지 기판(114)의 운반 동안에 플레이트(132)에 의해 먼저 조정 또는 제어될 것이다. 일단 기판(114)이 처리 환경(96)을 통해 이동하기 시작하면, 더 이상 플레이트(132) 근처에 있지 않게 되고, 따라서 일반적으로 핀 플레이트(132)가 기판(114)의 온도를 제어할 수 있는 물리적인 영역 범위 밖에 있게 된다. 그러나, 플레이트(132 및 134)의 구조와 비슷할 수 있는 플레이트(300)는 챔버(96)내 처리 디바이스 근처에 위치될 수 있고, 그래서, 도 28에 도시된 바와 같이, 처리 단계 동안에 기판9114)의 온도를 조정 및/또는 제어하기 위해 사용될 수 있다. 예를 들어, 플레이트(300)는 400℃에서 500℃의 범위내에서 온도를 유지하도록 케어될 수 있는데, 그래서 엑시머 레이저가 본 발명의 단계를 어닐링하는 동안에, 단일 실리콘 막이 쉽게 폴리 실리콘 막으로 변환될 수 있다. 처리 챔버(96)로부터 유출 로드 록 챔버(94)로의 운반 동안에 기판(114) 근처에 있는, 핀 플레이트(134)는 챔버(96)으로부터 유출 로드 록 챔버(94)로의 기판의 유출 동안에 기판, 캐리어의 온도 및/또는 주위 온도를 제어하기 위해 냉각된 유체일 수 있다.
플레이트(300) 바로 위에 위치된, 전형적인 폴리 실리콘 구성에서, 캐리어(122)가 미리 결정된 속도로 제 1 SiO2 타겟(271) 아래의 기판(114)을 먼저 통과시키고, 그곳에서 3000 옹스트롬 하부 층 막의 적어도 일부가 기판 위에 증착된다. 그 후 캐리어(122)는 제 2 SiO2 타겟(272) 아래 증착된 3000 옹스트롬 하부 층 막의 적어도 일부를 가진 기판(114)을 통과시키고, 그곳에서 상기 하부 층의 나머지 부분이 증착될 수 있다. 단일 타겟/증착 디바이스가 하부 층을 증착시키기 위해 사용될 수 있고, 두개의 별개의 타겟/증착 디바이스를 함께 사용하여 처리 시스템의 작동 수명을 증가시키는데, 이는 타겟 교체 주기가 타겟(271) 및 타겟(272) 사이에서의 타겟 부식의 공유의 결과로서 연장되기 때문이다. 하부 층의 나머지를 증착시키는 제 2타겟(272)에 연속하여, 순수 실리콘 타겟일 수 있는, 제 3타겟(273)이 하부 층의 최상부에 비결정 실리콘 층을 증착시키기 위해 사용될 수 있다. 도 26에 도시된 바와 같이, 이 층은 약 300 내지 500 옹스트롬 사이일 수 있다. 비결정 실리콘 층의 증착이 완료되면, 바로 기판은 챔버(96)내에 위치된 엑시머 레이저(274) 아래로 통과된다. 레이저(274)는 비결정 실리콘 막이 바라는 폴리 실리콘 막을 형성하도록 어닐링한다. 그러나, 어닐링 처리가 챔버(96) 내에 포함되기 때문에, 이 처리는 챔버(96)내 온도를 증가시키는 경향이 있다. 그래서, 상기 서술된 바와 같이, 플레이트(300) 뿐만 아니라, 핀 플레이트(132 및 134) 둘다가 가열 및/또는 냉각 디바이스로 설비될 수 있고, 그것은 어느 기판이라도 그 안에서 처리될 뿐만 아니라, 챔버(96)의 선택적인 온도 제어를 허용한다. 어닐링 처리가 완료될 때, 기판은 바로 챔버(96)로부터 유출 로드 록 챔버(94)로 밸브(100)을 통해 운반된다. 계속하여, 상기 처리된 기판은 로봇 또는 다른 알려진 디바이스에 의해 유출 로드 록 챔버(94)로부터 제거될 수 있다.
CVD 처리가 폴리 실리콘 막을 생성하기 위해 사용될 수 있을 지라도, CVD 처리는 일반적으로 CVD 처리에서 실란 SiH2의 사용의 결과로서 나쁜 품질의 막을 초래하는데, 이는 CVD 어닐링 처리 동안에 방출된 수소가 원하는 폴리 실리콘 막 특성에 기여하지 못하기 때문이다. 그래서, 본 발명의 전형적인 증착 처리는 PVD 타입 처리에 촛점을 맞추는데, 이는 PVD 기반 어닐링 처리가 주위 가스로서 아르곤을 사용하여, 폴리 실리콘 막으로의 수소의 유입을 제거하기 때문이다. 예를 들어, 위에 서술된 바와 같이, 만일 SiO2 기판 타겟이 사용된다면, 1 KW이하의 RF 바이어스가 PVD 처리를 시작하기 위해 타겟에 인가될 수 있다. SiO2 타겟을 사용함으로서, 예를 들어, 챔버 압력이 약 1 - 5mT의 범위에서 유지될 수 있고 처리 영역으로의 아르곤의 흐름은 약 400℃ - 500℃의 기판온도를 가지고 50 - 100 sccm일 수 있고, 안정적인 증착을 유지하기 위해 필요한 DC 전력은 일반적으로 5 KW이하이다. 택일적으로, 순수 실리콘 타겟은 SiO2을 생산하기 위해 사용될 수 있으나, 순수 실리콘 타겟을 사용할 때에는 산소가 SiO2 막의 산소 부분을 생성하기 위해 주위 가스로서 처리 구역으로 도입된다. SiO2 타겟에 대해 사용되는 것과 유사한 온도, 압력, 유량 및 전력 세팅(setting)이 순수 실리콘 타겟과 함께 사용될 수 있다.
도 28은 도 27에 도시된 전형적인 장치의 측면도를 도시한다. 서로로부터 각각의 증착 구역을 물리적으로 분리하기 위해 작동하는 칸막이(171, 173, 175 및 177)가 도 28에 도시되어 있다. 덧붙여, 엑시머 레이저(274) 및 언 로드 록 밸브(100) 사이에 위치된, 어닐링 구역 부분(179)이 도시되어 있다. 자석(281)이, 또는 필적하는 자계를 생성할 수 있는 디바이스가, 타겟(271, 272 및 273)위에 위치되어 도시되어 있다. 자석(281)은 타겟(271, 272 및 273)의 노출된 표면 근처에 자계를 생성하기 위해 작동하고, 그것은 증착 동안에 평탄한 타겟 부식을 조장함으로서 일반적으로 스퍼터링 비율 및 타겟 수명을 증가시키는 것으로 알려져 있다.
본 발명이 상기 기술된 실시예에 기초하여 서술되었을지라도, 본 발명의 사상 및 범위내에서 변화, 변형 및/또는 택일적인 구조 또는 구성이 가능하다는 것은 당업자에 명백할 것이다. 특히, 셔틀, 캐리어, 기판, 로봇, 챔버, 타겟 및 다른 시스템 구성부분과 같은 구조물의 방향에서의 변형은 본 발명의 범위내에서 예상된다. 덧붙여, "위", "최상부", "아래", "최하부", "측면" 및 기타의 것과 같은, 여기에서 언급된 모든 운동과 위치는 타겟, 챔버, 캐리어 및 셔틀과 같은 물체의 위치에 관한 것이고 다른 구성 및 실시예를 생성하도록 변형될 수 있다. 따라서, 처리 시스템을 통해 원하는 기판의 운동을 달성하기 위해 다양한 택일적인 방향으로 구성부분 중 일부 또는 모두를 수정하는 것은 본 발명에 의해 예상된다. 그래서 본 발명의 진정한 범위는 다음의 청구항에 따라 결정되어야 한다.

Claims (30)

  1. 하나 이상의 기판을 처리하기 위한 장치로서,
    처리 환경내에서 기판을 수송하기 위한 하나 이상의 기판 캐리어(carrier);
    상기 하나 이상의 기판 캐리어와 선택적으로 연통하는 하나 이상의 온도 제어 플레이트(plate) - 상기 온도 제어 플라이트는 상기 처리 환경 내에 위치함 - ; 및
    상기 처리 환경안에 상기 하나 이상의 기판 캐리어의 처리 경로 근처에 위치된 하나 이상의 증착 디바이스 - 상기 하나 이상의 증착 디바이스는 상기 기판위에 선택된 막을 증착하도록 구성됨 -
    를 포함하는, 기판 처리 장치.
  2. 제 1항에 있어서,
    상기 하나 이상의 기판 캐리어는,
    실질적으로 평면인 기판 수용 부재 - 상기 수용 부재는 내부에 형성된 다수의 구멍들 및 상부에 형성된 기판 수용 표면을 가짐 -; 및
    상기 수용 부재의 하부면 상에 위치하는 구동 장치와 맞물리는 부재를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  3. 제 1항에 있어서,
    상기 하나 이상의 기판 캐리어는 상기 처리 환경 내의 제 1지점과 상기 처리 환경 내의 제 2지점 사이에 기판을 수송하도록 구성되는 것을 특징으로 하는 기판 처리 장치.
  4. 제 1항에 있어서,
    상기 하나 이상의 온도 제어 플레이트는,
    제 1가열 디바이스 및 냉각 디바이스 중 하나 이상을 가진 플레이트 - 제 1가열 디바이스 및 냉각 디바이스 중 하나 이상은 플레이트내에 형성됨 -; 및
    상기 플레이트의 맞물림 표면으로부터 연장한 다수의 연장된 핀 부재들 - 상기 연장된 핀 부재들은 상기 하나 이상의 기판 캐리어 내에 형성된 다수의 구멍들을 협력하여 맞물리도록 구성됨 -
    을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  5. 제 4항에 있어서,
    상기 제 1가열 디바이스는 열전대(thermocouple) 및 상기 열전대와 연통하는 하나 이상의 히터(heater)를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  6. 제 4항에 있어서,
    상기 냉각 디바이스는 상기 플레이트 내에 형성된 유체(fluid) 채널(channel)을 더 포함하며, 상기 유체 채널은 유체 입력 및 유체 출력을 갖는 것을 특징으로 하는 기판 처리 장치.
  7. 제 4항에 있어서,
    상기 맞물림 표면은 비드 블래스트된(bead blasted) 표면 및 양극화된(anodized) 표면 중 하나 이상을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  8. 제 1항에 있어서,
    상기 기판 처리 장치는 상기 기판을 어닐링(anealing)하기 위한, 상기 처리 환경 내에 위치된 제 2 가열 디바이스를 더 포함하고, 상기 제 2가열 디바이스는 레이저 광원(laser light source)을 더 포함하며, 상기 레이저 광원은 엑시머(eximer) 레이저를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  9. 삭제
  10. 삭제
  11. 제 1항에 있어서,
    상기 하나 이상의 온도 제어 플레이트는 상기 하나 이상의 기판 캐리어와 열적으로 연통하는 것을 특징으로 하는 기판 처리 장치.
  12. 하나 이상의 기판을 처리하기 위한 방법으로서,
    기판을 하나 이상의 기판 셔틀(shuttle)상에서 처리 환경으로 수송하는 단계;
    상기 기판을 상기 처리 환경 내부의 기판 캐리어에 전송하는 단계;
    상기 기판 캐리어의 온도를 하나 이상의 온도 제어 플레이트로 제어하는 단계;
    상부에 상기 기판을 갖는 상기 기판 캐리어를 상기 처리 환경 내의 하나 이상의 처리 구역을 통해 수송하는 단계; 및
    상기 처리 환경으로부터 제거하기 위해 상기 기판을 상기 기판 캐리어로부터 상기 하나 이상의 기판 셔틀에 전송하는 단계
    를 포함하는 기판 처리 방법.
  13. 제 12항에 있어서,
    상기 기판을 상기 기판 캐리어에 전송하는 단계는,
    상기 하나 이상의 기판 셔틀을 온도 제어 플레이트 위에 위치시키는 단계 - 상기 온도 제어 플레이트는 자신으로부터 연장하는 다수의 연장된 부재들을 가지며, 선택적으로 이동가능함 - ;
    상기 기판 캐리어를 상기 온도 제어 플레이트와 상기 하나 이상의 기판 셔틀 사이에 위치시키는 단계 - 상기 기판 캐리어는 내부에 다수의 구멍들이 형성되어 있음 - ;
    상기 플레이트로부터 연장하는 상기 다수의 연장된 부재들이 협력하여 상기 기판 캐리어 내에 형성된 다수의 구멍들과 맞물리고 상기 구멍들을 관통하여 맞물리도록 부분적으로 연장하고 상기 하나 이상의 기판 셔틀에서 상기 기판을 들어올리도록, 상기 온도 제어 플레이트를 상승시키는 단계;
    상기 처리 환경으로부터 상기 하나 이상의 기판 셔틀을 후퇴시키는 단계; 및
    상기 기판 캐리어 내에 형성된 상기 다수의 구멍들을 통해 연장하는 복수의 연장된 부재들이 상기 플레이트로부터 후퇴하도록 하기 위해 상기 온도 제어 플레이트를 하강시킴으로써 상기 기판을 상기 기판 캐리어상에 위치시키는 단계
    를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  14. 삭제
  15. 제 13항에 있어서,
    상기 기판 캐리어의 온도를 제어하는 단계는, 상기 온도 제어 플레이트와 상기 기판 캐리어의 접촉 동안 상기 온도 제어 플레이트와 상기 기판 캐리어 사이에 열적 에너지를 전송하기 위해 상기 온도 제어 플레이트를 가열하는 단계와 상기 온도 제어 플레이트를 냉각하는 단계 중 하나 이상의 단계를 더 포함하며, 상기 온도 제어 플레이트를 가열하는 단계는 상기 온도 제어 플레이트 내에 위치된 하나 이상의 저항 소자 히터를 통해 전기적 에너지를 통과시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  16. 제 13항에 있어서,
    상기 기판 캐리어의 온도를 제어하는 단계는, 상기 온도 제어 플레이트와 상기 기판 캐리어의 접촉 동안 상기 온도 제어 플레이트와 상기 기판 캐리어 사이에 열적 에너지를 전송하기 위해 상기 온도 제어 플레이트를 가열하는 단계와 상기 온도 제어 플레이트를 냉각하는 단계 중 하나 이상의 단계를 더 포함하며, 상기 온도 제어 플레이트를 냉각하는 단계는 상기 온도 제어 플레이트 내의 유체 채널을 통해 유체를 통과시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  17. 제 12항에 있어서,
    상기 기판 캐리어를 하나 이상의 처리 구역을 통해 수송하는 단계는, 상기 기판 캐리어를 증착 구역 및 어닐링 구역을 통해 이동시키는 단계를 더 포함하며, 상기 막 증착 구역 및 상기 어닐링 구역은 모두 상기 처리 환경 내에 포함되는 것을 특징으로 하는 기판 처리 방법.
  18. 제 12항에 있어서,
    상기 기판을 상기 하나 이상의 기판 셔틀로 운반하는 단계는,
    상기 온도 제어 플레이트를 상기 기판 캐리어에 맞물리도록 상승시키는 단계 - 상기 온도 제어 플레이트는 상기 플레이트로부터 연장하는 다수의 연장된 부재들을 가지고, 선택적으로 이동가능하고, 상기 다수의 연장된 부재들은 협력하여 상기 기판 캐리어 내에 형성된 다수의 구멍들과 맞물리며, 상기 구멍들을 관통하여 연장하여 상기 기판 캐리어 위로 상기 기판을 상승시킴 - ;
    상기 하나 이상의 기판 셔틀을 상기 기판 아래에 위치시키는 단계;
    상기 플레이트로부터 연장하는 다수의 연장된 부재들이 상기 기판 캐리어내에 형성된 다수의 구멍들로부터 후퇴하도록 상기 온도 제어 플레이트를 하강시킴으로써, 상기 기판을 상기 하나 이상의 기판 셔틀위로 하강시키는 단계; 및
    상부에 상기 기판을 갖는 상기 하나 이상의 기판 셔틀을 상기 처리 환경으로부터 후퇴시키는 단계
    를 더 포함하며, 상기 온도 제어 플레이트를 상승시키는 단계는, 상기 온도 제어 플레이트가 상기 기판 캐리어와 맞물리는 동안에 상기 기판 캐리어의 온도를 제어하는 단계를 더 포함하고, 상기 온도를 제어하는 단계는, 상기 온도 제어 플레이트와 상기 기판 캐리어 간의 접촉 동안 상기 온도 제어 플레이트와 상기 기판 캐리어 사이에 열적 에너지를 전달하기 위해 상기 온도 제어 플레이트를 가열하는 단계와 상기 온도 제어 플레이트를 냉각하는 단계 중 하나 이상의 단계를 더 포함하는
    것을 특징으로 하는 기판 처리 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020037008948A 2001-01-03 2001-12-20 연속적인 증착 시스템 KR100867839B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/753,424 2001-01-03
US09/753,424 US6460369B2 (en) 1999-11-03 2001-01-03 Consecutive deposition system
PCT/US2001/050263 WO2002067298A2 (en) 2001-01-03 2001-12-20 Consecutive deposition system

Publications (2)

Publication Number Publication Date
KR20030068574A KR20030068574A (ko) 2003-08-21
KR100867839B1 true KR100867839B1 (ko) 2008-11-10

Family

ID=25030576

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037008948A KR100867839B1 (ko) 2001-01-03 2001-12-20 연속적인 증착 시스템

Country Status (7)

Country Link
US (1) US6460369B2 (ko)
EP (1) EP1348229A2 (ko)
JP (1) JP2004534379A (ko)
KR (1) KR100867839B1 (ko)
CN (1) CN100378900C (ko)
TW (1) TW527669B (ko)
WO (1) WO2002067298A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101810046B1 (ko) 2012-01-19 2017-12-19 삼성디스플레이 주식회사 기상 증착 장치 및 기상 증착 방법

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413645B1 (en) 2000-04-20 2002-07-02 Battelle Memorial Institute Ultrabarrier substrates
US20100330748A1 (en) 1999-10-25 2010-12-30 Xi Chu Method of encapsulating an environmentally sensitive device
US6866901B2 (en) 1999-10-25 2005-03-15 Vitex Systems, Inc. Method for edge sealing barrier films
US6591160B2 (en) * 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
US6902635B2 (en) * 2001-12-26 2005-06-07 Nitrex Metal Inc. Multi-cell thermal processing unit
US8808457B2 (en) * 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US8900366B2 (en) * 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US6943066B2 (en) * 2002-06-05 2005-09-13 Advantech Global, Ltd Active matrix backplane for controlling controlled elements and method of manufacture thereof
US6918965B2 (en) * 2002-08-28 2005-07-19 Micron Technology, Inc. Single substrate annealing of magnetoresistive structure
US7930061B2 (en) 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7243003B2 (en) 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US7510913B2 (en) 2003-04-11 2009-03-31 Vitex Systems, Inc. Method of making an encapsulated plasma sensitive device
US7648925B2 (en) 2003-04-11 2010-01-19 Vitex Systems, Inc. Multilayer barrier stacks and methods of making multilayer barrier stacks
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US7045746B2 (en) 2003-11-12 2006-05-16 Mattson Technology, Inc. Shadow-free shutter arrangement and method
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
US7297397B2 (en) * 2004-07-26 2007-11-20 Npa Coatings, Inc. Method for applying a decorative metal layer
EA200501183A1 (ru) * 2005-07-18 2006-12-29 Владимир Яковлевич ШИРИПОВ Вакуумный кластер для нанесения покрытий на подложку (варианты)
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7767498B2 (en) 2005-08-25 2010-08-03 Vitex Systems, Inc. Encapsulated devices and method of making
WO2008045375A2 (en) * 2006-10-04 2008-04-17 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
WO2008070267A2 (en) * 2006-10-09 2008-06-12 Helios Coatings Inc. Method for applying a decorative layer and protective coating
US8568555B2 (en) * 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US7563725B2 (en) * 2007-04-05 2009-07-21 Solyndra, Inc. Method of depositing materials on a non-planar surface
US7855156B2 (en) * 2007-05-09 2010-12-21 Solyndra, Inc. Method of and apparatus for inline deposition of materials on a non-planar surface
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US7695080B2 (en) * 2007-06-05 2010-04-13 King Slide Works Co., Ltd. Securing device for a drawer slide
US20090011573A1 (en) * 2007-07-02 2009-01-08 Solyndra, Inc. Carrier used for deposition of materials on a non-planar surface
US20090022572A1 (en) * 2007-07-19 2009-01-22 Thomas Pass Cluster tool with a linear source
WO2009051764A1 (en) * 2007-10-15 2009-04-23 Solyndra, Inc. Support system for solar energy generator panels
KR20100103493A (ko) * 2007-12-06 2010-09-27 인테벡, 인코포레이티드 패터닝된 미디어의 상업적 제조를 위한 시스템 및 방법
US20090248080A1 (en) * 2008-03-26 2009-10-01 Warsaw Orthopedic, Inc. Alignment marking for spinal rods
US20100059115A1 (en) * 2008-09-05 2010-03-11 First Solar, Inc. Coated Substrates and Semiconductor Devices Including the Substrates
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US9337446B2 (en) 2008-12-22 2016-05-10 Samsung Display Co., Ltd. Encapsulated RGB OLEDs having enhanced optical output
US9184410B2 (en) 2008-12-22 2015-11-10 Samsung Display Co., Ltd. Encapsulated white OLEDs having enhanced optical output
US8590338B2 (en) 2009-12-31 2013-11-26 Samsung Mobile Display Co., Ltd. Evaporator with internal restriction
US10550474B1 (en) 2010-02-26 2020-02-04 Quantum Innovations, Inc. Vapor deposition system
US10808319B1 (en) 2010-02-26 2020-10-20 Quantum Innovations, Inc. System and method for vapor deposition of substrates with circular substrate frame that rotates in a planetary motion and curved lens support arms
TWI443211B (zh) 2010-05-05 2014-07-01 Hon Hai Prec Ind Co Ltd 鍍膜裝置
CN102234772B (zh) * 2010-05-06 2014-03-26 鸿富锦精密工业(深圳)有限公司 镀膜装置
KR101839453B1 (ko) * 2011-08-02 2018-03-16 엘지디스플레이 주식회사 플렉시블 디스플레이 장치의 제조 장비 및 제조 방법
EP2828416B1 (en) * 2012-03-20 2019-09-04 Quantum Innovations, Inc. Vapor deposition system and method
DE102012110385A1 (de) * 2012-10-30 2014-04-30 Von Ardenne Gmbh Lagerung für eine stoßempfindliche Substratbehandlungsapparatur
KR102233603B1 (ko) 2014-12-11 2021-03-31 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
JP6449074B2 (ja) * 2015-03-25 2019-01-09 住友化学株式会社 基板処理装置及び基板処理方法
WO2017152958A1 (en) 2016-03-08 2017-09-14 Evatec Ag Chamber for degassing substrates
US11031252B2 (en) 2016-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Compant, Ltd. Heat shield for chamber door and devices manufactured using same
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
CN109735813B (zh) * 2019-01-21 2021-02-02 深圳市华星光电半导体显示技术有限公司 一种基板镀膜设备
CN110144551B (zh) * 2019-07-04 2022-05-10 京东方科技集团股份有限公司 一种蒸镀设备及蒸镀方法
TWI737520B (zh) * 2020-08-14 2021-08-21 友達光電股份有限公司 顯示面板
DE102021123777A1 (de) * 2021-09-14 2023-03-16 Schott Ag Anlage und Verfahren zum mehrschrittigen Verarbeiten flächiger Substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5382126A (en) * 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
JPH11163104A (ja) * 1997-11-27 1999-06-18 Sony Corp 薄板状基板の表面処理装置における薄板状基板の保持装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3973665A (en) * 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
US4047624A (en) * 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5110249A (en) * 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5668056A (en) * 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US5881649A (en) * 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
US6117266A (en) * 1997-12-19 2000-09-12 Interuniversifair Micro-Elektronica Cenirum (Imec Vzw) Furnace for continuous, high throughput diffusion processes from various diffusion sources
US6172322B1 (en) * 1997-11-07 2001-01-09 Applied Technology, Inc. Annealing an amorphous film using microwave energy
JP4021983B2 (ja) * 1998-02-23 2007-12-12 キヤノンアネルバ株式会社 真空レーザアニール装置のステージ装置
US6214706B1 (en) * 1998-08-28 2001-04-10 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
US6298685B1 (en) * 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5382126A (en) * 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
JPH11163104A (ja) * 1997-11-27 1999-06-18 Sony Corp 薄板状基板の表面処理装置における薄板状基板の保持装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101810046B1 (ko) 2012-01-19 2017-12-19 삼성디스플레이 주식회사 기상 증착 장치 및 기상 증착 방법
US9932672B2 (en) 2012-01-19 2018-04-03 Samsung Display Co., Ltd. Vapor deposition and vapor deposition method

Also Published As

Publication number Publication date
WO2002067298A3 (en) 2003-04-17
KR20030068574A (ko) 2003-08-21
US6460369B2 (en) 2002-10-08
EP1348229A2 (en) 2003-10-01
TW527669B (en) 2003-04-11
WO2002067298A2 (en) 2002-08-29
JP2004534379A (ja) 2004-11-11
US20010015074A1 (en) 2001-08-23
CN100378900C (zh) 2008-04-02
CN1500285A (zh) 2004-05-26

Similar Documents

Publication Publication Date Title
KR100867839B1 (ko) 연속적인 증착 시스템
US6298685B1 (en) Consecutive deposition system
US6949143B1 (en) Dual substrate loadlock process equipment
KR100455226B1 (ko) 수직 적층된 처리챔버와 단일 축 이중 웨이퍼이송시스템을 갖춘 반도체 웨이퍼 처리시스템
JP4237939B2 (ja) 基板加熱冷却を改良した真空処理装置
KR100682163B1 (ko) 하이브리드형 pvd-cvd 시스템
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
KR101888828B1 (ko) 연속적으로 회전되는 원자층 증착 캐러셀 및 사용 방법들
US7432201B2 (en) Hybrid PVD-CVD system
US6746198B2 (en) Substrate transfer shuttle
US20070017445A1 (en) Hybrid PVD-CVD system
CN106920760B (zh) 衬底处理装置及半导体器件的制造方法
JP2007149948A (ja) 真空処理装置
KR101760667B1 (ko) 고생산성 박막증착이 가능한 원자층 증착 시스템
US11725272B2 (en) Method, system and apparatus for cooling a substrate
US20220336238A1 (en) Heating/cooling device and heating/cooling method
JP4625783B2 (ja) 基板ステージ及び基板処理装置
KR20240062157A (ko) 원자층 식각 공정을 위한 멀티 챔버 시스템
JP2011210757A (ja) 処理システム及び搬送機構の冷却方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee