KR20010062475A - 이중기판 로드록 프로세스 장비 - Google Patents

이중기판 로드록 프로세스 장비 Download PDF

Info

Publication number
KR20010062475A
KR20010062475A KR1020000076959A KR20000076959A KR20010062475A KR 20010062475 A KR20010062475 A KR 20010062475A KR 1020000076959 A KR1020000076959 A KR 1020000076959A KR 20000076959 A KR20000076959 A KR 20000076959A KR 20010062475 A KR20010062475 A KR 20010062475A
Authority
KR
South Korea
Prior art keywords
substrate
support structure
load lock
loadlock
chamber
Prior art date
Application number
KR1020000076959A
Other languages
English (en)
Inventor
시니치 쿠리타
웬델티. 블로니간
아키히로 호소카와
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23843644&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20010062475(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010062475A publication Critical patent/KR20010062475A/ko

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/04Arrangements of vacuum systems or suction cups

Abstract

일실시예는 하나의 처리되지 않은 기판을 지지하는 제 1지지 구조체와 하나의 처리된 기판을 지지하는 제 2지지 구조체를 갖는 로드록에 관한 것이다. 제 1지지 구조체는 제 2지지 구조체 위에 배치된다. 로드록은 지지 구조체의 수직 위치를 제어하는 엘리베이터를 포함한다. 로드록은 또한 로드록으로 처리되지 않은 기판의 삽입과 로드록으로부터 처리된 기판의 제거를 허용하는 제 1구멍 뿐만 아니라 로드록으로부터 처리되지 않은 기판의 제거와 로드록으로 처리된 기판의 삽입을 허용하는 제 2구멍을 포함한다. 냉각판은 처리된 기판을 지지하도록 채택된 표면을 포함한다. 가열장치는 로드록 내에서 제 1지지 구조체 위에 배치된다.

Description

이중기판 로드록 프로세스 장비{DUAL SUBSTRATE LOADLOCK PROCESS EQUIPMENT}
본 발명은 기판 프로세싱 시스템에 관한 것이고, 특히 기판을 취급하기 위한 로드록 시스템(loadlock system)에 관한 것이다.
예를 들어, 텔레비젼 및 컴퓨터 디스플레이와 같은 분야에 사용된 글라스 판넬과 같은 기판은 소정 장치를 생산하기 위하여 물리기상증착(PVD), 화학기상증착(CVD), 에칭 및 어닐링을 포함하는 연속단계를 사용하여 제작될 수 있다. 이러한 단계들은 다중챔버를 갖는 다양한 프로세싱 시스템을 사용하여 수행된다. 이들 중 하나의 시스템은 "클러스터 공구"(cluster tool)로서 공지된다. 클러스터 공구는 일반적으로 중앙의 웨이퍼 취급모듈 또는 이송챔버와, 대상물이 시스템으로 도입되고 제거되도록 관통하는 로드록 챔버(loadlock chamber)를 포함하는 다수의 주연챔버(peripheral chamber)와, 가열, 에칭 및 증착과 같은 프로세싱 단계들을 수행하기 위한 다수의 다른 챔버를 포함한다.
디스플레이에 적합하게 사용된 대형 글라스 기판의 프로세싱은 몇가지 방식에서 반도체 웨이퍼와 같은 다른 형태 기판의 프로세싱에 유사하다. 그러나, 이러한 글라스 기판들은 종종 전형적인 실리콘 웨이퍼보다 크다. 예를 들어, 글라스 기판은 550mm X 650mm의 치수를 갖고, 더 큰 디스플레이의 제작을 위하여, 650mm X 830mm 이상과 같은 더 큰 크기를 지향하는 경향이 있다.
대형 글라스 기판의 사용은 다른 형태의 기판을 프로세싱할 때 발생하지 않는 복잡함을 프로세싱에 도입한다. 예를 들어, 그들 사이즈에 부가하여, 디스플레이용으로 사용된 글라스 기판은 전형적으로 직사각 형상이다. 글라스 기판의 대형 크기와 형상은 더 작은 원형상의 기판과 비교할 때 프로세싱 시스템 내에서 위치 사이를 이송하는 데 어렵게 한다. 결과적으로, 글라스 기판을 프로세싱하기 위한 시스템은 일반적으로 대형 챔버와 구멍과, 이송기구를 요구한다. 부가적으로, 배치 프로세싱 작업에 적합한 프롯세싱 챔버로 많은 수의 기판을 공급하기 위하여 로드록 내에 거의 12개의 기판을 보유하는 대형 카세트를 사용하는 것이 공지되어 있다. 대형 기판을 취급하기 위한 대형 챔버 사이즈의 필요성 뿐만 아니라 로드록에서 대형 기판 카세트의 사용은, 또한 더 크고 많은 동력의 진공펌프, 동력원, 제어기구 등과 시스템 비용에서 상응하는 증가를 야기한다.
부가적으로, 글라스 기판은 종종 실리콘 기판과는 다른 열적 특성을 갖는다. 특히, 글라스는 상대적으로 낮은 열전도 특성을 구비하여, 기판을 균일하게 가열시키고 냉각시키는 것을 어렵게 할 수 있다. 온도구배는 글라스 기판을 가로질러 발생할 수 있어, 냉각시에 기판에 불필요한 응력을 야기시킬 수 있다. 기판 에지 근처에서 열손실은 중심에서보다 더 큰 경향을 나타낸다. 프로세싱 동안 온도구배는 비균일성 전기 (및 구조) 특성을 갖는 기판표면 상에 형성된 구성부품(component)을 야기시킬 수 있다. 결과적으로, 적합한 온도 제어를 유지하기 위하여, 가열 및 냉각 작업은 종종 상대적으로 느리게 수행된다. 시스템 구성부품 크기가 더 커지게 됨에 따라, 이들 단계들은 큰 부피 챔버에서 대형 구성부품을 가열하고 냉각시키는 데 걸리는 더 긴 시간에 기인하여 더욱 느려질 수 있다. 이들 느린 작업은 시스템 생산효율을 낮춘다.
본 발명의 특정 실시예는 크기가 상대적으로 작고 효과있는 방식으로 기판 이송, 냉각 및/또는 가열 작업을 수행할 수 있는 기판 프로세싱 시스템에 사용하기 위한 로드록 장치에 관한 것이다.
일실시예는 제 1기판을 지지하도록 채택된 제 1지지 구조체와, 제 2기판을 지지하도록 채택된 냉각판을 갖는 로드록에 관한 것이다.
다른 실시예는 하나의 처리되지 않은 기판을 지지하도록 채택된 제 1지지 구조체와, 하나의 처리된 기판을 지지하도록 채택된 제 2지지 구조체를 갖는 로드록에 관한 것이다. 상기 제 1지지 구조체는 상기 제 2지지 구조체 상에 위치되고 엘리베이터는 상기 지지 구조체들의 수직 위치를 제어하도록 제공된다. 상기 로드록은 또한 상기 로드록으로 상기 처리되지 않은 기판의 삽입 및 상기 로드록으로부터 처리된 기판의 제거를 허용하는 제 1구멍 뿐만 아니라 상기 로드록으로부터 처리되지 않은 기판의 제거 및 상기 로드록으로 처리된 기판의 삽입을 허용하는 제 2구멍을 포함한다. 처리된 기판을 지지하도록 채택된 표면을 갖는 냉각판은 또한 로드록 내에 포함된다. 상기 냉각판은 처리된 기판의 냉각속도를 바람직하게 조절하기위한 다중 구역을 갖도록 설계될 수 있다. 가열장치는 또한 상기 제 1지지 구조체 위의 로드록에 제공된다. 상기 가열장치는 연속 프로세싱을 위하여 다른 챔버로 처리되지 않은 기판을 삽입하기 전에 상기 제 1지지 구조체 상에서 처리되지 않은 기판을 가열하도록 사용될 수 있다.
다른 실시예는 적어도 하나의 프로세싱 챔버와, 상기 프로세싱 챔버에 연결된 이송챔버와, 상기 이송챔버에 연결된 로드록을 갖는 반도체 프로세싱 시스템에 관한 것이다. 상기 로드록은 단일 기판 상부 지지 구조체 및 단일 기판 하부 지지 구조체 뿐만 아니라 상기 이송챔버와 로드록 사이에서 단일 기판을 이송하는 이송구멍을 포함한다. 상기 로드록은 또한 상기 지지체들을 승하강시키기 위한 엘리베이터와 상기 단일 기판 하부 지지 구조체로부터 단일 기판을 수용하도록 위치된 냉각판을 포함한다.
다른 실시예는 로드록 챔버와, 상기 챔버 내의 지지 구조체를 포함하는 로드록에 관한 것이다. 상기 지지 구조체는 로봇 아암으로부터 단일 기판을 수용하도록 채택된다. 냉각판은 또한 상기 챔버에 배치되고 상기 지지 구조체로부터 단일 기판을 수용하도록 위치된다. 상기 지지 구조체는 상기 냉각판에 상대적으로 가동가능하다.
다른 실시예는 단일 처리되지 않은 기판 만을 지지하기 위한 제 1수단과, 단일 처리된 기판 만을 지지하기 위한 제 2수단을 갖는 로드록 시스템에 관한 것이다. 상기 제 1수단은 상기 제 2수단 위에 배치된다. 상기 시스템은 또한 처리된 기판을 상기 로드록 시스템 내에 배치된 냉각판으로 전송하기 위한 전송수단을 포함한다.
본 발명의 실시예는 또한 로드록을 사용하는 것을 포함하는 방법과, 기판을 프로세싱하기 위한 방법에 관한 것이다. 하나의 실시예는 처리되지 않은 기판을 로드록 내의 개구를 통해 로드록 내의 상부 지지 구조체에 전송하는 것을 포함하는 로드록을 사용하기 위한 방법에 관한 것이다. 상기 개구는 폐쇄되고 상기 로드록은 소정 압력수위까지 진공화된다. 상기 처리되지 않은 기판은 상기 로드록의 챔버 외측으로 이송된다. 처리된 기판은 (예를 들어, 프로세싱 시스템에서 이송챔버 또는 다른 챔버와 같은) 상기 로드록의 챔버 외측으로부터 상기 로드록 내의 하부 지지 구조체로 전송된다. 처리된 기판은 상기 하부 지지 구조체로부터 상기 로드록 내의 냉각판으로 전송되고, 상기 처리된 기판은 냉각된다.
다른 실시예는 처리되지 않은 기판을 로드록 내의 제 1개구를 통해 로드록 내의 상부 지지 구조체로 이송하는 것을 포함하는 기판을 프로세싱하기 위한 방법에 관한 것이다. 상기 개구는 폐쇄되고 상기 로드록은 진공화된다. 상기 처리되지 않은 기판은 상기 로드록 내의 제 2개구를 통해 로드록의 챔버 외측으로 전송된다. 처리된 기판은 상기 로드록의 챔버 외측으로부터 상기 로드록 내의 제 2개구를 통해 상기 하부 지지 구조체로 전송된다. 상기 제 2지지 구조체는 상기 처리된 기판을 상기 로드록 내의 냉각판으로 전송하도록 하강된다.
또 다른 실시예는 제 1로봇을 사용하여 하나의 처리되지 않은 기판을 로드록의 외측에 배치된 처리되지 않은 기판 공급부로부터 상기 로드록 내측의 제 1로드록 지지 구조체로 전송하는 것을 포함하는 기판을 프로세싱하기 위한 방법에 관한것이다. 상기 처리되지 않은 기판은 제 2로봇을 사용하여 상기 제 1로드록 지지 구조체로부터 이송챔버로 이송된다. 상기 처리되지 않은 기판은 상기 이송챔버로부터 적어도 하나의 프로세싱 챔버로 이송되어 상기 처리되지 않은 기판은 처리된 기판으로 형성하도록 처리된다. 처리된 기판은 상기 적어도 하나의 프로세싱 챔버로부터 상기 이송챔버로 이송된다. 상기 처리된 기판은 제 2로봇을 사용하여 상기 이송챔버로부터 제 2로드록 지지 구조체로 이송된다. 상기 제 2로드록 지지 구조첸느 상기 제 1로드록 지지 구조체 아래에 배치된다. 처리된 기판은 제 2로드록 지지 구조체로부터 로드록 내의 냉각판으로 이송되어 냉각된다. 처리된 기판은 제 1로봇을 사용하여 로드록으로부터 제거된다.
또 다른 실시예는 단일 처리되지 않은 기판을 로드록 내의 상부 지지 구조체로 이송하고 상기 로드록을 진공화시키는 것을 포함하는 기판을 프로세싱하기 위한 다른 방법에 관한 것이다. 단일 처리되지 않은 기판은 로드록으로부터 이송챔버로 이송된다. 단일 처리된 기판은 이송챔버로부터 상기 로드록 내의 하부 지지 구조체로 전송된다. 단일 처리된 기판은 상기 하부 지지 구조체로부터 상기 로드록 내의 냉각판으로 전송된다. 상기 로드록은 배기되고 상기 단일 처리된 기판은 로드록과 이송챔버 외부의 위치로 전송된다. 다른 단일 처리되지 않은 기판은 로드록으로 이송된다.
도 1은 본 발명의 실시예에 따른 로드록, 이송챔버 및 프로세싱 챔버를 포함하는 클러스터 공구의 평면도.
도 2는 본 발명의 실시예에 따른 도 1의 로드록의 일부 단면도.
도 3은 본 발명의 실시예에 따른 로드록의 사시도.
도 4는 본 발명의 실시예에 따른 내부격실 주위의 외부체 구역을 포함하는 도 3의 로드록의 사시도.
도 5는 본 발명의 실시예에 따른 커버부와 하부를 포함하는 도 3 및 도 4의 로드록의 사시도.
도 6a는 본 발명의 실시예에 따른 로드록의 소정의 내부 부품의 분해도.
도 6b는 본 발명의 실시예에 따라 서로 조립될 때 몇몇 로드록 부품의 사시도.
도 7a-도 7f는 본 발명의 실시예에 따른 프로세싱 개략도.
도 8은 본 발명의 실시예에 따른 로드/언로드 조건에서 로드록 시스템의 일부 사시도.
도 9는 본 발명의 실시예에 따른 냉각조건에서 로드록 시스템의 일부 사시도.
도 10은 본 발명의 실시예에 따른 냉매이송 채널을 갖는 냉각판의 단면도.
도 11은 본 발명의 실시예에 따른 냉각판의 바닥부에서 냉매이송 채널을 갖는 냉각판의 단면도.
도 12는 본 발명의 실시예에 따라서 냉매이송 채널을 갖는 중간판의 단면도.
도 13은 본 발명의 실시예에 따른 중간판의 상부에서 냉매이송 채널을 갖는 중간판의 단면도.
도 14는 본 발명의 실시예에 따른 고방사율 구역을 갖는 플레이트의 평면도.
도 15는 본 발명의 실시예에 따른 냉각판 및 기판 지지시스템의 단면도.
도 16은 본 발명의 실시예에 따른 클러스터 챔버의 평단면도.
도 17은 본 발명의 실시예에 따른 클러스터 챔버의 평단면도.
< 도면의 주요부분에 대한 부호의 설명 >
10 : 이송챔버
20 : 주연 프로세스 챔버
30 : 로드록 기구
40 : 로봇
50 : 이중 기판 카세트
51 : 상부 슬롯
52 : 냉각판
53 : 하부 슬롯
58 : 엘리베이터
94 : 가열장치
128 : 샤프트
130 : 커넥터
본 발명의 실시예들은 첨부도면을 참조하여 설명된다.
특정의 바람직한 실시예는 로드록 시스템 및 작업의 방법에 관한 것이다.이들 로드록 시스템은 대형 클러스터 타입 프로세싱 시스템의 일부로서 사용될 수 있다. 도 1에 도시된 바와 같이, 일 실시예는 중앙의 기판 취급모듈 또는 이송챔버(10)와, 다수의 주연 프로세스 챔버(20)와, 시스템으로 기판을 삽입하고 시스템으로부터 기판을 제거하기 위한 적어도 하나의 로드록 기구(30)를 갖는 클러스터 시스템을 포함한다. 중앙의 이송챔버(10)는 다양한 챔버들 사이에서 기판을 파지하고 전송하기 위한 로봇(40)을 포함한다. 용어 기판은, 한정하지는 않지만 글라스, 반도체, 세라믹, 금속, 복합물 및 이들의 조합을 포함하는 다양한 재료로 제작된 기판을 포함한다.
로드록(30)의 바람직한 실시예는 도 2의 단면으로 예시된다. 로드록(30)은 처리되지 않은 기판을 보유하기 위한 상부 슬롯(51)과 처리된 기판을 보유하기 위한 하부 슬롯(53)을 갖는 이중 기판 카세트(50)를 포함한다. 상부 슬롯(51)은 바람직하게 카세트(50)의 상부판(54)과 중간판(56) 사이에 배치된다. 하부 슬롯(53)은 바람직하게 카세트(50)의 하부판(76) 위에서 중간판(56)과 냉각판(52) 사이에 제작된다. 판(54, 56, 57)들은 조립되어 카세트(50)를 제작한다. 냉각판(52)은 카세트(50) 내에 전체적으로 배치된다. 그러나, 카세트(50)에 연결되지 않는 것이 바람직하다. 대신에, 냉각판(52)의 플랜지부(100, 102)는 카세트(50)를 둘러싸는 프레임 부재(64)에 부착된다. 이 구조체는 샤프트(128)를 통해 엘리베이터(58)에 카세트를 결합(도 3)시킴으로써 카세트(50)를 냉각판(52)과 무관하게 이동하도록 한다. 냉각판(52)과 무관하게 카세트(50)를 이동시킴으로써, 하부 슬롯(53) 내에서 지지체(78, 80) 상의 기판은 카세트를 이동시킴으로써 냉각판(52)으로 하강되고이로부터 상승될 수 있다.
특정의 바람직한 실시예에서, 냉각판(52) 상의 기판은 (상부에 기판을 갖는) 냉각판과 중간판(56)을 서로 근접하도록 위치시킴으로써 냉각될 수 있다. 냉각판(52)과 중간판(56) 사이에 기판을 샌드위치시킴으로써, 기판은 효과적인 방식으로 냉각될 수 있다. 하기에 보다 상세히 설명되는 바와 같이, 중간판(56) 및 냉각판(52) 모두는 수냉될 수 있고 고방사율 표면적을 갖는다.
로드록(30)의 진보적인 도면들은 도 3 내지 도 5에 예시된다. 카세트(50)는 작업동안 카세트 내부를 관찰하기 위한 개구(62)를 포함한다. 도 4는 카세트(50)를 둘러싸는 프레임 부재(64)의 로드록 본체부를 포함하는 도 3의 로드록(30)을 나타낸다. 윈도우(66; window)는 개구(62)를 통한 카세트의 내부를 관찰하기 위해 제공되고, 도어(68)는 기판을 삽입하고 제거하도록 로드록의 내부로 접근하기 위해 제공된다. 엘리베이터(58)는 카세트(50) 아래에 제공되어 냉각판(52)과 프레임 부재(64)에 상대적으로 카세트(50)를 이동시키도록 사용된다. 도 2에 도시된 바와 같이, 엘리베이터(58)는 하나 이상의 커넥터(130) 및 플레이트(132)와 같은 연결부를 통해 카세트(50)의 바닥에 부착된 샤프트(128)를 포함한다. 커넥터(130)는 카세트(50)가 오정렬되면 고르게 될 수 있도록 조정가능하게 설계된다. 대안으로, 샤프트(128)는 카세트(50)에 직접 연결된다.
도 5는 로드록 챔버 구역을 한정하도록 상부 압력용기부 또는 상부 커버(70)와 하부 압력용기부 또는 바닥 커버(72)를 더 포함하는 도 3 및 도 4의 로드록(30)을 나타낸다. 상부 커버(70) 및 바닥 커버(72)는 적합한 진공 또는 다른 소정의압력 조건을 유지할 수 있고 기판 가열동안 접하게 되는 상승된 온도를 견딜 수 있는 적합한 구조체일 수 있다. 로드록(30)은 로드록(30)을 지지하고 이동시키기 위한 휠 프레임 구조체(74)를 포함한다.
도 6a는 카세트(50), 냉각판(52) 및 프레임 부재(64)로부터의 구성부품들을 포함하는 로드록(30) 내의 특정 구성부품의 분해도면을 예시한다. 도 6b는 프레임 부재(64) 내에서 조립된 카세트(50)를 예시한다. 프레임 부재(64)는 대향측 상의 개구(96, 98)를 포함하고, 이들을 통해 기판은 로드록으로 삽입되고 이로부터 제거된다. 개구(96)는 로드록의 대기측에 있고 개구(98)는 로드록의 이송챔버 측에 있다.
카세트(50)의 하부판(76)은 기판(82)을 지지하기 위한 지지체(78, 80)를 포함하는 지지 구조체를 갖는다. [하부판(76) 위에 배치된] 냉각판(52)은 구멍(84, 86)를 포함하고 이들을 통해 지지체(78, 80)는 하부 슬롯(53) 내의 기판(82)을 지지하도록 연장한다. 중간판(56)은 상부 슬롯(51) 내의 기판을 지지하기 위한 지지체(88, 90)를 포함하는 지지 구조체를 갖는다. 중간판(56) [및 기판(92)] 위에는 상부판(54) 및 가열장치(94)가 놓인다. 가열장치(94)는, 예를 들어, 저항요소 또는 가열램프를 포함한다. 다른 실시예는 상부판(54) 및/또는 가열장치(94)를 생략한다.
도 6a에 예시된 바와 같이, 가열장치(94)는 카세트(50) 내에서 이동하고 상부 지지 구조체에 근접하여 위치되도록 상부판(54) 내의 리세스에 끼워맞춤한다. 대안으로, 가열장치(94)는 도 2에 예시된 바와 같이 상부판(54) 위 또는 로드록 내의 다른 위치에 위치된다. 가열장치의 바람직한 사용은 기판을 다른 챔버로 이송하기 전에 처리되지 않은 기판을 예열하는 것이다. 기판을 예열하는 것은 처리되지 않은 기판을 가열하기 위하여 가열챔버로서 사용될 수 있는 시스템 내의 하나 이상의 프로세싱 챔버 배치를 배제한다. 로드록에서 기판을 예열함으로써, 가열챔버는 생략된다. 실시예는 예를 들어, 100℃ 내지 500℃ 범위 이상의 온도와같이 특정 프로세싱 작업에 따라 소정 온도까지 기판을 가열한다. 필요하다면, 어닐링 또는 애싱(ashing)과 같은 다른 형태의 가열 작업에 적합하게 로드록을 사용하는 것이 가능하다. 소정 형태의 고온 프로세싱 또는 다른 프로세싱 단계들 사이에서 기판이 가열되는 프로세싱에 적합하게 개별적인 가열챔버가 여전히 요구된다.
도 7a-f는 하나의 가능한 프로세싱 실시예 동안 사용될 수 있는 로드록의 여러 구성부품을 개략적으로 예시한다. 소정 구성부품의 사이즈 및 형상은 예시 목적을 위해 선해 도면들에 대해 변경될 수 있다. 예시된 구성부품들은 하부판(76)과, 냉각판(52)과, 중간판(56)을 포함한다. 하부 지지체(78, 80)는 하부판에 결합되고 상부 지지체(88, 90)는 상부판에 결합된다. 하부판(76) 및 중간판(56)은 서로 결합되어 점선으로 표시된 바와 같이 카세트(50)를 형성한다. 하부 지지체(78, 80)는 냉각판(52) 내의 구멍을 통해 연장한다. 대기측의 로봇(atmospheric robot; 도 7a-f에는 도시되지 않음)은 대기측의 개구(96)와 도어(68)를 통해 로드록으로 기판을 전송하고 이로부터 제거하며, 이송챔버측의 로봇(도 7a-f에는 도시되지 않음)은 진공측의 개구(98)와 도어(99)를 통해 기판을 로드록으로부터 제거하고 전송한다. 도 7a-f에 도시된 바와 같이, 냉각판(50)은 프레임(64)에 결합되고개구(96, 98)에 대해 이동하지 않는다. [하부판(76), 하부 지지체(78, 80), 중간판(56), 상부 지지체(88, 90)을 포함하는] 카세트(50)는 개구(96, 98)에 대해 이동가능하다.
로드록 내에 기판이 없는 조건은 도 7a에 예시된다. 이것은 프로세싱 싸이클의 초기 조건이다. 일실시예에서, 프로세싱 방법은 로드록으로 처리되지 않은 기판(92)을 공급하는 단계를 포함한다. 도 7b에 도시된 바와 같이, 상부 지지체(88, 90)는 개구(96)와 정렬되고 처리되지 않은 기판(92)은 화살표로 표시된 방향으로부터 대기측의 개구를 통해 로드록으로 삽입된다. 다음, 대기측 개구 도어(68)는 폐쇄되고, 로드록은 진공화되고 카세트(50)는 상승되어 도 7c에 도시된 바와 같이 냉각판(52) 내의 개구(59)를 통해 하부 지지체(78, 80)를 교체하고 진공측의 개구(98)와 정렬한다. 진공측의 개구 도어(99)는 개방되어 처리된 기판(82)이 화살표에 의해 표시된 방향으로부터 이송챔버(또는 다른 프로세싱 챔버)로부터 로드록으로 이송되어 지지체(78, 80) 상에 배치될 수 있도록 한다.
이 후에, 카세트(50)는 도 7d에 도시된 바와 같이, 하강되어 처리된 기판(82)을 냉각시키기 위해 냉각판(52)으로 배치시킨다. 바람직한 실시예는 냉각판(52)으로부터 중간판(56)의 바닥 내의 개구(61)로 연장하는 핀(57)과 같은 구조체를 포함한다. 핀(57)들은 냉각판(52)과 중간판(56)의 적절한 정렬을 확보할 뿐만 아니라 냉각과정 동안 챔버에 도입된 가스 압력에 의해 발생할 수 있는 기판이 측방향으로의 냉각판의 활주를 방지하는 차단판을 제공하도록 작용한다. 도 7d에 도시된 바와 같이, 냉각판(52)과 중간판(56)은 처리된 기판(82)이 실질적으로 판들사이에 샌드위치되도록 배치된다. 이것은 처리된 기판(82)의 효과적인 냉각을 진전시킨다. 일반적으로, 중간판(56)이 처리된 기판(82)에 더 밀접하게 위치될수록,처리된 기판(82)의 냉각속도는 더 빨라진다. 일 실시예에서, 중간판(56)과 처리된 기판(82) 사이에서 5mm 갭은 1인치(약 25mm) 갭보다 냉각속도가 5배 빠르다.
도 7d는 처리되지 않은 기판(92)이 화살표에 의해 지시된 방향으로 진공측의 개구(98)를 통해 전송하기 위해 배치되어 정렬된다. 처리되지 않은 기판은 진공측의 개구를 통해 전송되고 이 후에 진공측의 도어(99)는 폐쇄되고 챔버는 배기되어 다른 처리되지 않은 기판(92')이, 도 7e에 예시된 바와 같이, 화살표에 의해 지시된 방향으로부터 대기측의 개구를 통해 상부 지지체(88, 90) 상에 배치되도록 한다. 배기는 처리된 기판(82)의 균일한 냉각을 진전시키도록 제어된다. 카세트(50)는 이 후에 상승되어 처리된 기판을 냉각판(52)으로부터 들어올려서 도 7f에 도시된 바와 같이 화살표에 의해 지시된 방향으로 대기측의 개구(96)를 통해 로드록으로부터 제거되도록 한다.
상술된 단계들은 요구되는 바와 같이 변경되고 본 발명의 실시예에 따라 수행되는 다수의 상이한 프로세싱 계획이 있다는 것을 인식하여야 한다. 예를 들어, 다른 프로세싱 실시예는 이송챔버로 이송되기 전에 로드록에서 처리되지 않은 기판(92)을 가열하는 것을 포함한다. 이러한 실시예에서, 가열단계는 수행되어, 가열되고 처리되지 않은 기판(92)은 처리된 기판(82)을 이송챔버로부터 로드록으로 전송하기 전에 진공측의 개구(98)를 통해 이송챔버로 전송된다.
카세트(50) 및 냉각판(52)의 더욱 상세한 도면은 도 8 및 도 9이다.상부판(56), 중간판(56) 및 하부판(76)은 측부(77, 79)를 통해 서로 결합된다. 측부(77, 79)는 핀(89)을 사용하여 서로 결합된 분리단편이다. 대안으로, 측부(77, 79)는 단일 유닛이고, 하나 이상의 판(54, 56, 76)으로 집적된다.
도 8에 도시된 바와 같이, 하부 지지체(78, 80)는 냉각판(52)의 표면 위의 처리된 기판(82)을 지지한다. 이 형상은 처리된 기판(82)이 로드록에 로드되거나 이로부터 제거되는 로드/언로드 조건에 대응한다. 본 실시예에서, 처리된 기판(82)은 투명하다. 지지체(78, 80)는 한정하는 것은 아니지만 핀, 볼트, 스크류 또는 페그형(peg-like) 형체를 포함하는 기판을 지지할 수 있는 다양한 구조를 갖는다. 지지체의 팁은 또한 다양한 구조를 갖는다. 예를 들어, 도 7a에 예시된 바와 같이, 지지체(78, 80, 88, 90)의 팁은 둥글고, 반면에 도 9에서, 지지체(78, 80)의 팁은 편평하고 개구(81)를 갖는다. 도 8 및 도 9에 예시된 바와 같이, 일 실시예는 4개의 외부핀(78)과 2개의 중앙핀(80)을 포함한다.
도 9는 지지체가 냉각판(52)의 표면 상에 처리된 기판(82)을 배치시키도록 하강된 후에 하부 지지체(78, 80)의 위치를 예시한다. 냉각판(52)은 기판의 온도를 바람직하게 제어하기 위한 하나 이상의 구역을 갖도록 설계된다. 이것은 상부면 상에 하나 이상의 채널 또는 홈(104; groove)의 형상을 제공함으로써 달성된다. 홈(104)의 위치 및 수는 냉각동안 양호한 온도제어를 허용하기 위하여 기판과 냉각판(52) 표면 사이의 접촉면적을 조정하도록 설계된다. 예를 들어 단위 면적당 많은 홈(104)이 중심 근처보다 냉각판(52)의 주연 근처에 배치되면, 기판의 넓은 표면적이 중심 근처에서 냉각판(52)에 접촉할 것이다. 중심이 예를 들어 금속과 같은 열방사 재료이면, 많은 열이 기판의 중심으로부터 방사될 것이다. 홈(104)은 기판의 주연 근처에서 보다 신속하게 발생하는 열손실을 방지하도록 설계된다. 이것은 냉각동안 기판을 가로지르는 보다 균일한 온도분포를 유도한다. 일 실시예에서, 홈(104)은 약 6mm의 폭과 약 1mm의 깊이를 갖는다. 다른 치수는 특정 응용에 적합할 수 있다.
실시예는 판으로부터 열을 신속하게 제거하기 위하여 냉각판 및 중간판의 일부에 합체되거나 또는 부착된 하나 이상의 냉매 이송채널을 포함한다. 냉매 이송채널은 기판을 가로지르는 더욱 균일한 온도분포를 제공하기 위하여 냉각판의 다른 부분에 적합한 상이한 냉각특성을 극복하도록 요구된 냉각판을 따라 분포된다. 도 10은 다수의 홈(104)과 그 안에 형성된 냉매 이송채널(108)을 포함하는 냉각판(106)의 실시예의 단면도를 예시한다. 도 11은 홈(104)과, 냉각판(110)의 바닥에 (영구적으로 또는 분리가능하게) 연결된 냉매 이송채널로서 파이프 또는 튜브(112)를 포함하는 냉각판(110)의 실시예를 예시한다. 특정 실시예에서, 중간판은 처리된 기판을 냉각시키는 데 보조하기 위한 제 2냉각판으로 작용한다. 도 12는 냉매 이송채널(118)을 포함하는 중간판(116)의 실시예를 예시한다. 도 13은 중간판(120)의 상부에 (영구적으로 또는 분리가능하게) 연결된 냉매 이송채널로서 파이프 또는 튜브(122)를 갖는 중간판(120)의 실시예를 예시한다.
실시예는 상이한 위치에서 상이한 표면 마무리(finish)와 같은 다중 특성을 갖는 표면을 각각 포함하는 냉각판 및 중간판을 포함한다. 예를 들어, 무딘(dull) 및/또는 블랙(black) 마무리 (또는 다른 어둔색 마무리)는 보다 많은 열을 기판으로 다시 반사시키는 반사성 및/또는 완만한 마무리보다 더 많은 열흡수에 기인하여 냉각을 가속시킨다. 냉각판의 모두 또는 부분을 애노다이징(anodizing) 또는 비드 브라스팅(bead blasting)하는 것은 냉각을 가속화시키는 바람직한 고방사율 마무리를 형성할 수 있다. 도 14에 도시된 바와 같이, 예를 들어, (냉각판 및/또는 중간판과 같은) 판(130)의 표면은 고방사율 중심영역(131)을 포함한다. 도 14에 도시된 바와 같이, 판(130)의 고방사율 중심영역(131)은 투명기판(132)을 통해 관찰된다. 기판(1320은 바람직하게 고방사율 영역(131)보다 더 큰 사이즈 [및 판(130)보다 더 작은 사이즈]를 갖는다. 특정 실시예에서, 기판을 보다 균일하게 냉각시키기 위하여, 기판(132)의 에지 근처에 고방사율 구역(130)을 제공하지 않는 것이 바람직하다. 이것은 기판(132)의 에지가 그의 중심영역보다 더욱 신속하게 냉각하는 경향을 나타내어, 중심영역보다 더욱 빠른 냉각을 기판(132)의 에지에 유도하는 전체 표면 이상의 고방사율 구역(130)을 제공하기 때문이다. 이러한 비균일 냉각은 기판(132)의 불필요한 응력 및/또는 랩핑(wraping)을 야기할 수 있다.
로드록(30)의 상부 및 하부 커버(70, 72; 도 2)는 로드록 프레임 부재(64)에 상부 커버(70) 및 하부 커버(72)를 장착하도록 사용되는 플랜지(116) 및 오링(118)을 포함한다. 상부 커버(70)는 로드록의 내부로 가스가 전송되는 가스 전송 파이프 또는 튜브를 포함하는 유입/배출 벤트(120)를 포함한다. 다양한 가스는 수행되려는 프로세싱 작업(냉각, 어닐링, 예열, 애싱 등)에 따라 로드록에 전송된다. 특정 실시예에서, 냉각판(52) 상의 처리된 기판을 냉각시키는 데 보조하도록 배기할 때 냉각가스가 챔버로 전송되는 것이 바람직하다. 챔버에 사용하기 위한 바람직한냉각가스는 질소 및/또는 헬륨을 포함한다. 한정하는 것은 아니지만, 아르곤 및 네온을 포함하는 다른 불활성 가스도 사용될 수 있다. 특정 실시예는 약 754 ~ 759 토르(torr) 질소와 약 1 ~ 6 토르 헬륨의 압력을 유지하는 헬륨과 질소의 혼합가스를 사용한다. 바람직한 실시예에서, 챔버를 배기시킴으로써 3 토르 헬륨 및 757 토르 질소로 유지하는 냉각 가스가 챔버에 공급된다. 이러한 냉각 계획은 균일하고 급속한 냉각을 제공하도록 예측된다. 바람직한 실시예는 불활성 성질과 열전도성에 근거하여 냉각가스로서 헬륨만을 포함한다. 기판이 기판을 따라서 약 100℃ 미만, 심지어 약 50℃ 미만으로 균일하게 냉각되는 것이 바람직하다. 요구된다면, 불피룡한 불순물을 여과시키고 로드록 챔버 전체에서 가스의 균일한 분포를 향상시키기 위하여 필터(122; 도 2)는 로드록의 상부 근처에 위치된다. 필터(122)는 홀더(124)에 의해 제위치에 유지되고 스크류(126)를 사용하여 조정된다.
특정의 바람직한 실시예에서, 하부 지지체(78, 80)는 냉각판(52) 내의 구멍을 통해 연장한다. 다른 실시예는 냉각판 내의 구멍을 관통하는 대신에 냉각판에 인접하여 연장하는 하부 지지체를 이용한다. 도 15에 예시된 바와 같이, 이러한 실시예는 냉각판(138)의 상부면 상에 처리된 기판(82)을 안착시키기 위하여 냉각판(138) 내에 하나 이상의 홈(142)으로 하강되는 하나 이상의 가동 아암(140)을 포함한 냉각판(138)에 인접한 지지체(136)를 포함한다.
다른 실시예는 도 6a에 예시된 것들과 몇가지 방식에서 유사한 구성부품을 갖지만 로드록 및 및 로드록과 이송챔버 사이에서 기판을 이송하기 위한 단일 개구를 포함하는 로드록을 포함한다.
본 발명의 실시예에 따른 클러스터 프롯세싱 시스템의 여러 실시예는 도 16 및 도 17에 예시된다. 도 16은, 본 발명에 따라서, 처리되지 않은 기판 카세트(162)로부터 처리되지 않은 기판의 공급을 포함하고, 로봇(166)을 사용하여 일시에 기판 카세트 및 로봇 스테이션(164)으로부터 로드록(160)으로 공급될 수 있는 시스템(158)을 예시한다. 로드록(160)은 도 2 내지 도 4에 도시된 로드록(30)에 유사한 구조를 갖는다. 일단 처리되지 않은 기판이 로드록(160) 내측에 있으면, 로드록(160)은 진공화되고 처리되지 않은 기판은 제 2로봇(170)을 갖는 이송챔버(168)로 전달된다. 일단 이송챔버(168) 내에서, 처리되지 않은 기판은 프로세싱용 챔버들 사이에서 전달된다. 일 실시예에서, 기판은 가열을 위해 챔버(172)로 먼저 이송되고, 이 후에 이송챔버(168)로 복귀된 후, 예를 들어 화학기상증착(CVD) 챔버, 물리기상증차(PVD) 챔버 또는 식각챔버와 같은 다른 형태의 프로세싱 챔버일 수 있는 다른 프로세싱 챔버(174)로 이송된다. 프로세싱 챔버(174)에서 취급 후에, 기판은 이송챔버(168)로 전달되고 이 후에 다른 프롯세싱 챔버(174)로 전달된다. 기판이 원하는 만큼 완전히 처리될 때, 마무리 프로세싱 챔버로부터 이송챔버(168)로 전송되고 다시 로드록(160)으로 전송된다. 처리된 기판은 이 후에 로드록(160)에서 냉각된다. 냉각은 로드록(160)이 진공화되는 동안 발생하고 또한 로드록(160)이 배기됨에 따라 발생한다. 일단 배기가 완료되고 처리된 기판이 (예를 들어, 약 100℃까지) 충분히 냉각되면, 처리된 기판은 로봇(166)을 사용하여 로드록(160)으로부터 제거되고 스테이션(164)에서 처리된 기판 카세트(176)로전송된다.
도 16의 것과 몇가지 점에서 유사한 프로세싱 시스템(178)의 다른 실시예는 도 17에 예시된다. 그러나, 도 17의 실시예는 2개의 로드록(160) 및 5개의 프로세싱 챔버(174) 뿐만 아니라 하나의 가열챔버(172) 및 로봇(170)을 갖는 이송챔버(168)를 포함한다. 본 실시예는 프로세싱이 신속하게 수행될 수 있는 경우에 특히 유용하고 처리량은 시스템으로 많은 기판을 공급함으로써 증가될 수 있다. 예시된 바와 같이, 시스템(178)은 로드록(167)으로 처리되지 않은 기판을 공급하고 로봇(167)을 사용하여 로드록(160)으로부터 처리된 기판을 수용하기 위한 많은 카세트(162, 176)를 갖는 대형 스테이션(165)을 포함한다. 본 발명의 특정 실시예는 로드록 내에 히터를 포함한다. 이러한 실시예를 사용하는 경우, 도 16 및 도 17의 가열챔버(172)와 같은 가열챔버를 제거하는 것이 가능하다. 이러한 경우에, 추가 프로세싱 챔버(174)는 요구된다면 사용되고, 단지 하나의 로드록(160)이 사용되면 시스템은 7개의 프로세싱 챔버를 갖는다. 요구된 프로세싱 단계와 사용된 플랫포옴에 사응하여, 프로세싱, 로드록 및 가열챔버의 갯수가 사용된다. 특정 플랫포옴은 또한 하나 이상의 이송챔버를 사용한다.
본 발명의 선택된 실시예는 하나 이상의 장점을 제공할 수 있다. 예를 들어, 특정 실시예에서, 단일 로드록 챔버는 처리된 기판을 냉각시키고 처리되지 않은 기판을 가열시키기 위해 사용될 수 있다. 다양한 특징은 또한 대형 글라스 기판을 신속하게 냉각시키거나 가열시킬 수 있으므로, 시스템의 생산량을 증가시킨다. 로드록 설계의 다양한 특징은 기판을 가로질러 많은 균일한 온도를 제공하거나 또는 기판을 가로지르는 특정 온도분포를 제공하기 위하여 냉각판 상의 기판의 온도를 제어하는 것이다. 예를 들어, 가열장치(94)는 기판을 가로지르는 온도분포를 제어하기 위하여 냉각작업 동안 특정 실시예에 사용된다. 중간판(56) 및/또는 로드록의 다른 부분의 절연특성을 제어함으로써, 가열장치(94)로부터 열은 그 온도를 제어하기 위하여 기판의 일부로 전송될 수 있다. 일실시예에서, 기판의 외부 에지를 기판의 중간구역보다 높은 온도에 유지함으로써, 외부 에지는 기판을 냉각시킬 때 압축으로 배치될 수 있어, 에지 파손의 위험을 감소시킨다. 기판의 내부보다 낮은 속도로 기판의 외부 에지를 냉각시키는 것은 냉각판(52) 상의 처리된 기판의 외부 에지부를 접촉시키도록 중간판(56)의 에지 주위로 가열요소(92)로부터 열량을 지향시킴으로써 특정 실시예에서 수행된다.
부가적으로, 처리된 기판을 냉각시키고 로드록으로부터 언로딩시키는 동안 소모된 시간은 예를 들어 12개 기판을 보유하는 대형 카세트를 갖는 로도록을 사용하는 것과 비교하여 본 발명의 실시예에 따른 이중 기판 카세트를 사용하는 경우 충분히 짧아질 수 있다. 로드록에 12개 기판을 보유하는 카세트를 갖는 시스템에서, 시스템을 배기시키는 데 대략 2분이 소요되고 각각의 기판을 언로드시키기 위해 배기된 후에 로봇 아암을 사용하여 로드록으로부터 기판을 언로드시키는 데 추가 8분이 소요된다. (12개 기판을 갖는 로드록보다 상대적으로 더 작은 내부챔버 체적을 갖는) 본 발명의 특정 실시예는 최대 약 1분, 더 바람직하게는 약 30초의 시간 내에 배기와 처리된 기판의 제거를 수행한다. 부가적으로, 로도록에 가열요소를 갖는 특정 실시예는 로드록 내의 상대적으로 작은 내부체적과 기판이 히터에근접하여 위치될 수 있기 때문에 기판을 신속하게 가열할 수 있다. 바람직한 실시예는 1분 미만, 더 바람직하게는 약 30초의 시간 내에 기판을 가열할 수 있다.
본 발명의 특정 실시예에 의해 제공된 더 빠른 배기, 기판 제거 및/또는 가열시간은 여러 장점을 제공한다. 먼저, 시스템의 생산량은, 특정 형태의 프로세싱 경우, 높다. 특정 실시예는 하나의 기판이 시스템으로 도입되고, 처리되고 이 후에 시스템으로부터 배출되는 데 걸리는 시간인 빠른 택트시간(fast tact time)을 허용할 수 있다. 둘째, (기판을 언로딩시키기 위해) 가능한 더 빠른 속도를 갖는 로봇을 사용할 필요성은 시스템이 시간을 축소할 수 있기 때문에 감소된다. 느린 속도 로봇을 사용하는 것은 프로세싱 시스템의 신뢰성을 개선시킨다.
수행되려는 프로세싱 스텝에 따라서, 특정 실시예는 일시에 로드록에 0 또는 1개 기판을 갖는 것이 바람직하다. 다른 실시예에서, 일시에 로드록에 2개의 기판을 갖는 것이 바람직하다. 다른 실시예는 일시에 로드록에 2개 이상의 기판이 위치될 수 있도록 한다. 본 발명의 선택된 실시예는 특정 다른 실시예보다 일시에 시스템에 더 적은 기판을 구비함에도 불구하고 높은 생산성을 달성한다. 예를 들어, 로드록에 기판 카세트를 갖는 한개 배치(batch) 프로세싱 시스템은 특정 시간에서 로드록에 12개의 기판, 가열챔버에 12개의 기판 및 다른 시스템 챔버에서 처리되는 16개의 기판이 있는 상태로 시스템 내에 거의 40개의 기판을 갖는다. 로드록과 가열챔버에서 기판의 수를 일치시키는 것은 시스템의 대칭적인 레이아웃(layout) 때문에 완만한 기판 이송을 허용할 수 있다. 본 발명의 특정의 바람직한 실시예에 따른 시스템은 특정 시간에서 로드록에 1개, 가열챔버에 8개 그리고 다른 시스템 챔버에서 6개가 있는 상태로 시스템 내에 거의 15개의 기판을 갖는다. 이들 숫자는 시스템에서 다양한 챔버의 형상에 따라 상당히 변할 수 있다. 정확한 프로세싱 스템과 그들의 기간에 따라, 더 빠른 기판의 삽입 및 제거 때문에, 본 발명의 특정 실시예는 로드록에 12개 기판 카세트를 갖는 시스템보다 단위 시간당 더 높은 전체 생산량을 갖는다.
몇몇 다른 시스템에 사용된 로드록 카세트에 비하여 본 발명의 특정 실시예의 로드록 이중 기판 카세트의 보다 작은 사이즈는 로드록을 더 적은 양의 재료로 제작하고 더 작은 진공, 엘리베이터, 동력 부품 등을 사용하는 것이 가능하게 한다. 이들 더 작은 구성부품은 로드록 내에 다중 기판 카세트를 포함하는 대형 시스템보다 시스템을 상당히 저렴하게 한다.
상술된 다양한 챔버에 사용된 전형적인 프로세싱 압력은 약 10-8토르 내지 수 토르의 범위를 형성하고, 챔버와 수행되는 (PVD, CVD, 에칭, 어닐링 등)의 프로세스 스텝에 따라 변한다. 인접한 챔버들 사이의 압력차는 최소치로 유지되거나 또는 인접한 챔버가 오염을 최소화시키기 위하여 서로 접촉하는 경우 제어되는 것이 요구된다.
본 발명의 실시예는 기판이 로드록으로부터 시스템의 레이아웃에 따라 하나 이상의 프로세싱 챔버 및 동일하거나 또는 다른 로드록으로 연속하여 이송되는 선형 시스템(linear system)과 같은 다른 형태의 프로세싱 시스템을 포함한다.
물론, 본 발명의 수정은, 다양한 특징 내에서, 기술분야의 숙련자들에게 명백하다는 것을 인식하여야 한다. 다양한 다른 실시예는 특정 응용에 따른 그들의 특정 설계를 가능하게 한다. 또한, 본 발명의 요지는 상술된 특정 실시예에 의해 한정되지 않고 청구범위에 의해 한정된다.

Claims (97)

  1. 제 1기판을 지지하도록 채택된 제 1지지 구조체와,
    제 2기판을 지지하도록 채택된 냉각판으로 구성된 로드록.
  2. 제 1항에 있어서,
    상기 냉각판은 상기 제 1지지 구조체 아래에 배치되는 로드록
  3. 제 1항에 있어서,
    상기 제 1지지 구조체 아래에 배치된 제 2지지 구조체를 더 포함하고, 상기 제 2지지 구조체는 상기 냉각판에 대해 이동가능한 로드록
  4. 제 3항에 있어서,
    상기 냉각판은 상기 제 2지지 구조체로부터 처리된 기판을 수용하도록 배치된 로드록.
  5. 제 1항에 있어서,
    상기 냉각판은 적어도 하나의 홈을 그의 표면 상에 포함하는 로드록.
  6. 제 5항에 있어서,
    상기 냉각판은 적어도 하나의 냉매 이송채널을 포함하는 로드록.
  7. 제 1항에 있어서,
    상기 냉각판은 애노다이즈드 표면구역을 포함하는 로드록.
  8. 제 3항에 있어서,
    엘리베이트를 더 포함하여 상기 제 1지지 구조체와 상기 제 2지지 구조체의 수직 위치를 제어하는 로드록.
  9. 제 8항에 있어서,
    상기 제 2지지 구조체는 상기 냉각판을 관통하여 연장하는 로드록.
  10. 제 8항에 있어서,
    상기 제 1지지 구조체 상의 처리되지 않은 기판과 상기 제 2지지 구조체 상의 처리된 기판을 더 포함하는 로드록.
  11. 제 10항에 있어서,
    상기 처리되지 않은 기판은 글라스 재료로 이루어진 로드록.
  12. 제 1항에 있어서,
    상기 제 1지지 구조체 위에 배치된 가열장치를 더 포함하는 로드록.
  13. 제 8항에 있어서,
    상기 제 1지지 구조체와 제 2지지 구조체를 포함하는 가동 카세트를 더 포함하는 로드록.
  14. 제 13항에 있어서,
    처리되지 않은 기판을 상기 로드록으로 수용시키도록 채택된 제 1구멍과 처리된 기판을 수용하도록 채택된 제 2구멍을 한정하는 로드록 본체부를 더 포함하는 로드록.
  15. 제 14항에 있어서,
    상기 엘리베이터는 상기 카세트를 상승시키도록 채택되고, 상기 냉각판은 상기 로드록 본체부에 체결되는 로드록.
  16. 제 13항에 있어서,
    상기 카세트는 제 1플레이트를 더 포함하고 상기 제 1지지 구조체는 상기 제 1플레이트에 결합되는 로드록.
  17. 제 16항에 있어서,
    상기 제 1플레이트와 상기 냉각판은 제 1방사율을 갖는 제 1표면 구역과 제 2방사율을 갖는 제 2표면 구역을 각각 포함하고, 상기 제 2방사율은 상기 제 1방사율보다 큰 로드록.
  18. 제 16항에 있어서,
    상기 카세트는 상기 냉각판 아래에 위치된 제 2플레이트를 더 포함하고 상기 제 2지지 구조체는 상기 제 2플레이트에 결합되는 로드록.
  19. 제 18항에 있어서,
    상기 카세트는 상기 제 1지지 구조체 위에 배치된 제 3플레이트를 더 포함하는 로드록.
  20. 제 19항에 있어서,
    상기 제 3플레이트 위에 배치된 가열수단을 더 포함하는 로드록.
  21. 제 16항에 있어서,
    상기 제 1지지 구조체 위에 배치된 필터를 더 포함하는 로드록.
  22. 챔버 본체와,
    하나의 처리되지 않은 기판을 지지하도록 채택된 상기 챔버 본체 내의 제 1지지 구조체와,
    하나의 처리된 기판을 지지하도록 채택된 상기 챔버 본체 내의 제 2지지 구조체-상기 제 1지지 구조체는 상기 제 2지지 구조체 위에 배치됨-와,
    상기 제 1지지 구조체와 상기 제 2지지 구조체의 수직 위치를 제어하는 엘리베이터와,
    처리되지 않은 기판을 상기 로드록으로 삽입하고 상기 로드록으로부터 처리된 기판을 제거하는 것을 허용하는 제 1구멍과,
    상기 로드록으로부터 처리되지 않은 기판을 제거하고 상기 로드록으로 처리된 기판을 삽입하는 것을 허용하는 제 2구멍과,
    처리된 기판을 지지하도록 채택된 표면을 포함하는 냉각판과,
    상기 제 1지지 구조체 위에 배치된 가열수단을 포함하는 로드록.
  23. 제 22항에 있어서,
    상기 제 2지지 구조체는 하나의 처리된 기판을 지지하도록 위치될 때 상기 냉각판을 통해 연장하는 로드록.
  24. 제 22항에 있어서,
    상기 제 1지지 구조체와 상기 제 2지지 구조체는 상기 냉각판에 대해 이동가능한 로드록.
  25. 제 24항에 있어서,
    상기 냉각판은 상기 챔버 본체에 부착되는 로드록.
  26. 제 25항에 있어서,
    상기 냉각판은 상기 제 2지지 구조체가 관통하여 이동하는 것을 허용하는 다수의 홀을 갖는 로드록.
  27. 제 22항에 있어서,
    상기 제 1지지 구조체와 상기 제 2지지 구조체 사이에 중간판을 더 포함하는 로드록.
  28. 제 27항에 있어서,
    상기 냉각판은 그로부터 연장하는 적어도 하나의 구조체를 포함하고 상기 중간판은 상기 냉각판으로부터 연장하는 상기 적어도 하나의 구조체를 수용하는 크기로 치수화된 적어도 하나의 개구를 포함하는 로드록.
  29. 제 27항에 있어서,
    상기 제 1지지 구조체는 상기 중간판에 연결되는 로드록.
  30. 제 27항에 있어서,
    상기 중간판은 냉각층과 절연층를 포함하는 로드록.
  31. 제 22항에 있어서,
    상기 제 1지지체 위에 배치된 가열요소를 더 포함하는 로드록.
  32. 제 22항에 있어서,
    상기 제 1지지 구조체는 다수의 핀을 포함하고 상기 제 2지지 구조체는 다수의 핀을 포함하는 로드록.
  33. 적어도 하나의 프로세싱 챔버와,
    상기 적어도 하나의 프로세싱 챔버에 연결된 이송챔버와,
    상기 이송챔버에 연결된 로드록으로 구성되고, 상기 로드록은,
    단일기판 상부 지지체 및 단일기판 하부 지지체와,
    상기 이송챔버와 상기 로드록 사이에서 단일기판을 이송하는 이송구멍과,
    상기 단일기판 상부 지지체와 상기 단일 기판 하부 지지체를 상승시키고 하강시키는 엘리베이터와,
    상기 로드록 내에 배치되고 상기 단일기판 하부 지지체로부터 단일기판을 수용하도록 배치된 냉각판으로 이루어진 반도체 프로세싱 시스템.
  34. 제 33항에 있어서,
    상기 단일기판 하부 지지체는 상기 냉각판을 관통하여 연장하는 반도체 프로세싱 시스템.
  35. 제 33항에 있어서,
    상기 로드록은 처리되지 않은 기판이 관통하여 상기 로드록으로 로드되고 처리된 기판이 관통하여 상기 로드록으로부터 언로드되는 로드/언로드 구멍을 더 포함하는 반도체 프로세싱 시스템.
  36. 제 35항에 있어서,
    상기 로드록은 처리되지 않은 기판이 관통하여 상기 로드록으로부터 상기 이송챔버로 전송되고 처리된 기판이 관통하여 상기 이송챔버로부터 상기 로드록으로 전송되는 이송구멍을 더 포함하는 반도체 프로세싱 시스템.
  37. 제 35항에 있어서,
    상기 로드록은 가열요소를 더 포함하는 반도체 프로세싱 시스템.
  38. 제 36항에 있어서,
    상기 로드록은 가열요소를 더 포함하고 상기 가열요소는 상기 단일기판 상부 지지체 위에 배치되는 반도체 프로세싱 시스템.
  39. 제 38항에 있어서,
    상기 로드록은 상기 냉각판 위와 상기 가열요소 아래에 배치된 중간판을 더 포함하는 반도체 프로세싱 시스템.
  40. 제 39항에 있어서,
    상기 단일기판 상부 지지체는 상기 중간판에 연결되는 반도체 프로세싱 시스템.
  41. 제 40항에 있어서,
    가스를 상기 로드록에 공급하는 가스 유입구를 더 포함하는 반도체 프로세싱 시스템.
  42. 제 41항에 있어서,
    상기 로드록은 상부면을 포함하고, 상기 가스 유입구는 상기 로드록의 상기 상부면을 따라 배치되는 반도체 프로세싱 시스템.
  43. 제 35항에 있어서,
    상기 이송챔버에 결합된 적어도 하나의 프로세싱 챔버를 더 포함하고, 상기 적어도 하나의 프로세싱 챔버는 물리기상 증착챔버와, 화학기상 증착챔버와, 에칭챔버와 가열챔버로 구성되는 그룹으로부터 선택된 적어도 하나의 챔버로 구성되는반도체 프로세싱 시스템.
  44. 제 43항에 있어서,
    기판을 상기 로드록에 전송하고 기판을 상기 로드록으로부터 픽업하는 제 1로봇과,
    처리되지 않은 기판을 상기 로드록에 공급하는 적어도 하나의 처리되지 않은 기판 카세트와,
    처리된 기판을 상기 로드록으로부터 수용하는 적어도 하나의 처리된 기판 카세트로 구성되는 외부 기판 공급 스테이션을 더 포함하는 반도체 프로세싱 시스템.
  45. 제 44항에 있어서,
    상기 로드록과 상기 이송챔버 사이에서 기판을 이송하는 제 2로봇을 더 포함하는 반도체 프로세싱 시스템.
  46. 제 36항에 있어서,
    상기 로드록과 상기 이송챔버 사이에서 기판을 이송하는 이송챔버 로봇과,
    상기 이송챔버에 결합된 적어도 하나의 프로세싱 챔버를 더 포함하고,
    상기 적어도 하나의 프로세싱 챔버는 물리기상 증착챔버, 화학기상 증착챔버, 에칭챔버 및 가열챔버로 구성되는 그룹으로부터 선택된 적어도 하나의 챔버로 구성되는 반도체 프로세싱 시스템.
  47. 제 46항에 있어서,
    처리되지 않은 기판을 상기 로드록으로 이송하고 처리된 기판을 상기 로드록으로부터 제거하는 로드록 전송 로봇을 더 포함하는 반도체 프로세싱 시스템.
  48. 제 33항에 있어서,
    상기 냉각판 상에 배치된 기판과 상기 로드록에 배치된 헬륨을 포함하는 냉각가스를 더 포함하는 반도체 프로세싱 시스템.
  49. 제 48항에 있어서,
    상기 냉각가스는 질소를 더 포함하는 반도체 프로세싱 시스템.
  50. 로드록 챔버와,
    상기 로드록 챔버에 배치되고 로봇 아암으로부터 단일 기판을 수용하도록 채택된 지지 구조체와,
    상기 챔버 내에 배치되고상기 지지 구조체로부터 단일 기판을 수용하도록 배치된 냉각판으로 구성되고,
    상기 지지 구조체는 상기 냉각판에 대해 이동가능한 로드록 시스템.
  51. 제 50항에 있어서,
    상기 냉각판은 상기 지지 구조체가 관통하여 연장하는 적어도 하나의 구멍을 포함하는 로드록 시스템.
  52. 제 50항에 있어서,
    상기 로드록 챔버에 배치된 가열수단을 더 포함하고, 상기 가열수단은 상기 지지 구조체와 상기 냉각판 위에 배치되는 로드록 시스템.
  53. 로드록 시스템에 있어서,
    단일 처리되지 않은 기판 만을 지지하기 위한 제 1수단과,
    단일 처리된 기판 만을 지지하기 위한 제 2수단과,
    처리된 기판을 상기 로드록 시스템 내의 냉각판으로 전송하기 위한 전송수단으로 구성되고,
    상기 제 1수단은 상기 제 2수단 위에 배치되는 로드록 시스템.
  54. 제 53항에 있어서,
    상기 냉각판 상에서 상기 기판을 냉각시키기 위한 냉각수단을 더 포함하는 로드록 시스템.
  55. 제 53항에 있어서,
    기판을 가열하기 위한 가열수단을 더 포함하고, 상기 가열수단은 상기 제 1지지체 위에 배치되는 로드록 시스템.
  56. 로드록을 사용하기 위한 방법에 있어서,
    상기 로드록 내의 개구를 통해 상기 로드록 내의 상부 지지 구조체로 처리되지 않은 기판을 전송하는 단계와,
    상기 개구를 폐쇄하고 상기 로드록을 진공화시키는 단계와,
    상기 처리되지 않은 기판을 상기 로드록의 챔버 외부로 이송하는 단계와,
    처리된 기판을 상기 로드록의 상기 챔버 외부로부터 상기 로드록 내의 하부 지지 구조체로 전송하는 단계와,
    상기 처리된 기판을 상기 하부 지지 구조체로부터 상기 로드록 내의 냉각판으로 이송하는 단계와,
    상기 처리된 기판을 냉각시키는 단계로 이루어진 방법.
  57. 제 56항에 있어서,
    상기 냉각하는 단계는 상기 로드록으로 가스를 도입하는 단계와 상기 가스가 상기 로드록으로 유입될 때 상기 가스를 필터링시키는 단계를 포함하는 방법.
  58. 제 56항에 있어서,
    상기 냉각하는 단계는 상기 로드록으로 헬륨을 포함하는 가스를 도입하는 단계를 포함하는 방법.
  59. 제 56항에 있어서,
    상기 냉각하는 단계는 상기 로드록으로 헬륨가스와 질소가스를 포함하는 가스를 도입하는 단계를 포함하는 방법.
  60. 제 59항에 있어서,
    상기 가스는 약 754 토르 내지 759 토르의 압력으로 제공된 상기 질소가스와 약 1 내지 6 토르로 제공된 상기 헬륨가스를 포함하는 방법.
  61. 제 58항에 있어서,
    상기 가스는 757 토르 질소와 3 토르 헬륨으로 구성되는 방법.
  62. 제 56항에 있어서,
    상기 처리되지 않은 기판을 상기 로드록의 상기 챔버 외부로 이송하기 전에 상기 로드록에서 상기 처리되지 않은 기판을 가열하는 단계를 더 포함하는 방법.
  63. 제 56항에 있어서,
    상기 처리되지 않은 기판을 상기 로드록의 상기 챔버 외부로 이송하기 전에 상기 로드록에서 상기 처리되지 않은 기판을 가열하는 단계를 더 포함하는 방법.
  64. 제 56항에 있어서,
    상기 처리된 기판을 냉각하는 단계는 상기 냉각판으로부터 열을 제거하도록 상기 냉각판에 냉각유체를 제공하는 단계를 포함하는 방법.
  65. 제 56항에 있어서,
    상기 처리된 기판을 상기 냉각판으로 전송하는 단계는 상기 처리된 기판의 하부면이 상기 냉각판의 상부면 위에 배치되도록 상기 냉각판을 통해 상기 하부 지지 구조체의 적어도 일부를 하강시키는 단계를 포함하는 방법.
  66. 제 65항에 있어서,
    상기 냉각판을 통해 상기 하부 지지 구조체의 적어도 일부를 하강시키는 단계는 상기 하부 지지 구조체의 상부를 상기 냉각판의 상기 상부면보다 더 낮은 위치까지 하강시키는 단계를 포함하는 방법
  67. 제 56항에 있어서,
    상기 처리된 기판 위의 위치에 제 2플레이트를 배치시키는 단계를 더 포함하여, 상기 처리된 기판으로부터의 열이 상기 제 2플레이트로 전송되는 방법.
  68. 제 56항에 있어서,
    상기 제 2플레이트와 상기 냉각판을 냉각시키기 위하여 냉각유체를 공급하는단계를 더 포함하는 방법.
  69. 기판을 프로세싱하기 위한 방법에 있어서,
    로드록 내의 제 1개구를 통해 상기 로드록 내의 상부 지지 구조체로 처리되지 않은 기판을 전송하는 단계와,
    상기 제 1개구를 폐쇄하고 상기 로드록을 진공화시키는 단계와,
    상기 로드록 내의 제 2개구를 통해 상기 로드록의 챔버 외부으로 상기 처리되지 않은 기판을 전송하는 단계와,
    상기 로드록 내의 상기 제 2개구를 통해 처리된 기판을 상기 로드록의 상기 챔버 외부로부터 상기 하부 지지 구조체로 전송하는 단계와,
    상기 처리된 기판을 상기 로드록 내의 냉각판으로 전송하는 단계로 이루어진 방법.
  70. 제 69항에 있어서,
    상기 처리된 기판을 상기 냉각판으로 전송하는 단계는 상기 냉각판에 대해 상기 하부 지지 구조체를 하강시키는 단계를 포함하는 방법.
  71. 제 69항에 있어서,
    상기 냉각판 상에서 상기 기판을 냉각시키는 단계와,
    상기 냉각판으로부터 상기 처리된 기판을 제거하기 위하여 상기 냉각판에 대해 상기 하부 지지 구조체를 상승시키는 단계와,
    상기 제 1개구를 통해 상기 로드록으로부터 상기 처리된 기판을 제거하는 단계를 더 포함하는 방법.
  72. 제 71항에 있어서,
    상기 냉각판으로부터 상기 처리된 기판을 제거하도록 상기 하부 지지 구조체를 하강시키기 전에 상기 로드록을 배기시키는 단계를 더 포함하는 방법.
  73. 제 72항에 있어서,
    상기 배기하는 단계는 상기 로드록으로 냉각가스를 전송하는 단계를 포함하는 방법.
  74. 제 73항에 있어서,
    상기 배기가스는 헬륨으로 이루어진 방법.
  75. 제 72항에 있어서,
    상기 냉각판에서 상기 기판을 냉각하는 단계와, 상기 로드록을 배기시키는 단계와, 상기 냉각판으로부터 상기 처리된 기판을 제거하는 단계와, 상기 제 1개구를 통해 상기 로드록으로부터 상기 처리된 기판을 제거하는 단계는 60초 미만의 시간 내에 수행되는 방법.
  76. 제 72항에 있어서,
    상기 로드록을 배기시키기 전에 상기 로드록에서 상기 처리된 기판을 가열하는 단계를 더 포함하는 방법.
  77. 제 75항에 있어서,
    상기 시간은 30초 미만인 방법.
  78. 제 77항에 있어서,
    상기 처리되지 않은 기판은 상기 로드록의 챔버 외부로 상기 처리되지 않은 기판을 전송하기 전에 상기 로드록에서 예열되고, 상기 예열은 60초 미만의 시간 내에 수행되는 방법.
  79. 제 78항에 있어서,
    상기 시간은 30초 미만인 방법.
  80. 제 71항에 있어서,
    상기 제 1개구를 통해 상기 로드록으로부터 상기 처리된 기판을 제거한 후 상기 제 1개구에 대해 상기 하부 지지 구조체를 하강시키는 단계와,
    상기 제 1개구를 통해 상부 지지 구조체에 상기 처리되지 않은 기판을 수용하도록 상기 상부 지지 구조체를 정렬시키는 단계를 포함하는 방법.
  81. 제 69항에 있어서,
    상기 로드록에서 상기 처리되지 않은 기판을 가열시키는 단계를 더 포함하는 방법.
  82. 제 81항에 있어서,
    상기 가열 단계는 60초 미만의 시간 내에 수행되는 방법.
  83. 제 81항에 있어서,
    상기 가열 단계는 30초 미만의 시간 내에 수행되는 방법.
  84. 제 71항에 있어서,
    상기 냉각판 상에 상기 기판을 냉각시키는 단계는 상기 기판 위에 제 2플레이트를 배치시키는 단계를 포함하고, 상기 제 2플레이트는 상기 기판으로부터의 열이 상기 제 2플레이트로 전달되도록 배치되는 방법.
  85. 제 84항에 있어서,
    상기 제 2플레이트는 상기 기판으로부터 거의 5mm 거리에 배치되는 방법.
  86. 제 84항에 있어서,
    상기 냉각판으로부터 연장하는 적어도 하나의 핀을 상기 중간판에 배치시키는 단계를 더 포함하는 방법.
  87. 제 69항에 있어서,
    상기 로드록의 챔버 외부로부터 상기 하부 지지 구조체로 상기 처리된 기판을 전송하는 단계는 상기 로드록의 챔버 외부로 상기 처리되지 않은 기판을 전송하기 전에 수행되는 방법.
  88. 제 87항에 있어서,
    상기 로드록의 챔버 외부로 상기 처리되지 않은 기판을 전송하기 전에 상기 로드록에서 상기 처리되지 않은 기판을 가열하는 단계를 더 포함하는 방법.
  89. 제 69항에 있어서,
    상기 처리되지 않은 기판을 전송하는 단계는 상기 처리된 기판을 전송하는 단계 후에 수행되는 방법.
  90. 기판을 프로세싱하기 위한 방법에 있어서,
    제 1로봇을 사용하여 처리되지 않은 기판 공급원으로부터 제 1로드록 지지 구조체로 하나의 처리되지 않은 기판을 전송하는 단계와,
    제 2로봇을 사용하여 상기 처리되지 않은 기판을 상기 로드록으로부터 이송챔버로 이송하는 단계와,
    처리된 기판을 제작하기 위하여 상기 처리되지 않은 기판을 처리하도록 상기 이송챔버로부터 적어도 하나의 프로세싱 챔버로 상기 처리되지 않은 기판을 이송하는 단계와,
    상기 적어도 하나의 프로세싱 챔버로부터 상기 처리된 기판을 상기 이송챔버로 이송하는 단계와,
    상기 제 2로봇을 사용하여 상기 이송챔버로부터 상기 로드록 내의 제 2로드록 지지 구조체로 상기 처리된 기판을 이송하는 단계와,
    상기 로드록 내의 냉각판으로 상기 제 2로드록 지지 구조체로부터 상기 처리된 기판을 이송하는 단계와,
    상기 처리된 기판을 냉각시키는 단계와,
    상기 제 1로봇을 사용하여 상기 로드록으로부터 상기 처리된 기판을 제거하는 단계로 이루어진 방법.
  91. 제 90항에 있어서,
    상기 로드록으로부터 상기 이송챔버로 상기 처리되지 않은 기판을 이송하기 전에 상기 로드록에서 상기 처리되지 않은 기판을 가열하는 단계를 더 포함하는 방법.
  92. 제 90항에 있어서,
    상기 제 1로드록 지지 구조체 위의 상기 로드록 내에 가열기를 배치하는 단계를 더 포함하는 방법.
  93. 제 90항에 있어서,
    상기 처리된 기판을 냉각하는 단계는 상기 로드록으로부터 상기 처리된 기판을 제거하기 전에 상기 로드록을 배기하는 단계를 포함하고, 상기 배기하는 단계는 상기 로드록으로 헬륨으로 구성된 가스를 도입하는 단계를 포함하는 방법.
  94. 제 90항에 있어서,
    상기 로드록 내의 상기 제 2지지 구조체 위에 상기 제 1지지 구조체를 배치하는 단계를 더 포함하는 방법.
  95. 기판을 프로세싱하기 위한 방법에 있어서,
    상기 로드록 내의 상부 지지 구조체로 단일 처리되지 않은 기판을 전송하는 단계와,
    상기 로드록을 배기하는 단계와,
    상기 로드록으로부터 이송챔버로 상기 단일 처리되지 않은 기판을 전송하는 단계와,
    이송챔버로부터 상기 로드록 내의 하부 지지 구조체로 단일 처리된 기판을전송하는 단계와,
    상기 하부 지지 구조체로부터 상기 로드록 내의 냉각판으로 상기 단일 처리된 기판을 전송하는 단계와,
    상기 로드록을 배기하는 단계와,
    상기 로드록과 상기 이송챔버 외부의 위치로 상기 단일 처리된 기판을 전송하는 단계와,
    상기 로드록으로 다른 단일 처리되지 않은 기판을 전송하는 단계로 이루어진 방법.
  96. 제 95항에 있어서,
    상기 이송챔버로 상기 단일 처리되지 않은 기판을 전송하기 전에 상기 단일 처리되지 않은 기판을 가열하는 단계를 더 포함하는 방법.
  97. 제 95항에 있어서,
    상기 하부 지지 구조체로부터 상기 냉각판으로 상기 단일 처리되지 않은 기판을 전송하는 단계는 상기 냉각판을 관통하여 상기 하부 지지 구조체를 하강시키는 단계와 상기 단일 처리된 기판의 하부면을 상기 냉각판의 상부면 위에 배치하는 단계를 포함하는 방법.
KR1020000076959A 1999-12-15 2000-12-15 이중기판 로드록 프로세스 장비 KR20010062475A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/464,362 1999-12-15
US09/464,362 US6949143B1 (en) 1999-12-15 1999-12-15 Dual substrate loadlock process equipment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020060107074A Division KR100809139B1 (ko) 1999-12-15 2006-11-01 이중기판 로드록 프로세스 장비

Publications (1)

Publication Number Publication Date
KR20010062475A true KR20010062475A (ko) 2001-07-07

Family

ID=23843644

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020000076959A KR20010062475A (ko) 1999-12-15 2000-12-15 이중기판 로드록 프로세스 장비
KR1020060107074A KR100809139B1 (ko) 1999-12-15 2006-11-01 이중기판 로드록 프로세스 장비
KR1020070069588A KR100916026B1 (ko) 1999-12-15 2007-07-11 이중기판 로드록 프로세스 장비

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020060107074A KR100809139B1 (ko) 1999-12-15 2006-11-01 이중기판 로드록 프로세스 장비
KR1020070069588A KR100916026B1 (ko) 1999-12-15 2007-07-11 이중기판 로드록 프로세스 장비

Country Status (6)

Country Link
US (4) US6949143B1 (ko)
EP (1) EP1109203A3 (ko)
JP (1) JP4409756B2 (ko)
KR (3) KR20010062475A (ko)
SG (1) SG90766A1 (ko)
TW (2) TW550653B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101289024B1 (ko) * 2006-06-02 2013-07-23 어플라이드 머티어리얼스, 인코포레이티드 다수 슬롯 로드 록 챔버 및 그 운전 방법

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000195925A (ja) 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
TWI342582B (en) * 2003-07-17 2011-05-21 Applied Materials Inc Method of surface texturizing
US7126087B2 (en) * 2003-08-07 2006-10-24 Canon Kabushiki Kaisha Method of effecting heating and cooling in reduced pressure atmosphere
JP4003882B2 (ja) * 2003-09-26 2007-11-07 シャープ株式会社 基板移載システム
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
SG132670A1 (en) 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
TWI286529B (en) * 2004-05-06 2007-09-11 Hannstar Display Corp Method and structure for reception and delivery
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8353986B2 (en) * 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP5026715B2 (ja) * 2006-03-17 2012-09-19 株式会社アルバック 金属とSiO2の混合膜の成膜方法
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
KR100744145B1 (ko) * 2006-08-07 2007-08-01 삼성전자주식회사 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
US9177843B2 (en) * 2007-06-06 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing contamination in integrated circuit manufacturing lines
US8905124B2 (en) 2007-06-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature controlled loadlock chamber
KR20100051738A (ko) * 2007-08-31 2010-05-17 어플라이드 머티어리얼스, 인코포레이티드 광전지 생산 라인
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
JP5084420B2 (ja) * 2007-09-21 2012-11-28 東京エレクトロン株式会社 ロードロック装置および真空処理システム
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
JP5355590B2 (ja) * 2008-12-12 2013-11-27 芝浦メカトロニクス株式会社 基板冷却装置および基板処理システム
JP5670351B2 (ja) 2009-02-22 2015-02-18 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィ機械装置のための準備ユニット
GB2469112A (en) 2009-04-03 2010-10-06 Mapper Lithography Ip Bv Wafer support using controlled capillary liquid layer to hold and release wafer
JP5037551B2 (ja) * 2009-03-24 2012-09-26 東京エレクトロン株式会社 基板交換機構及び基板交換方法
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US20120171002A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for transferring a substrate
JP6054314B2 (ja) * 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
JP2011117085A (ja) * 2011-03-10 2011-06-16 Canon Anelva Corp ロードロック室及びそれを備えた薄膜形成装置
TWI514089B (zh) 2011-04-28 2015-12-21 Mapper Lithography Ip Bv 在微影系統中用於轉移基板的設備
JP2013197401A (ja) * 2012-03-21 2013-09-30 Ulvac Japan Ltd ロードロックチャンバ
JP6082283B2 (ja) * 2012-05-30 2017-02-15 東京エレクトロン株式会社 筐体及びこれを含む基板処理装置
KR101375646B1 (ko) * 2012-06-18 2014-03-18 주식회사 씨엘디 가압 장치 및 방법
US20140061039A1 (en) * 2012-09-05 2014-03-06 Applied Materials, Inc. Target cooling for physical vapor deposition (pvd) processing systems
US9016998B2 (en) * 2013-03-14 2015-04-28 Varian Semiconductor Equipment Associates, Inc. High throughput, low volume clamshell load lock
JP5941016B2 (ja) * 2013-05-27 2016-06-29 株式会社神戸製鋼所 成膜装置およびそれを用いた成膜方法
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
US10796935B2 (en) 2017-03-17 2020-10-06 Applied Materials, Inc. Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
JP6863041B2 (ja) * 2017-04-21 2021-04-21 東京エレクトロン株式会社 基板加熱装置
CN108258467B (zh) * 2017-12-01 2020-08-28 番禺得意精密电子工业有限公司 电连接器
CN108193189A (zh) * 2017-12-27 2018-06-22 深圳市华星光电技术有限公司 一种真空溅射设备及其真空大气交换装置
US10607866B2 (en) * 2018-08-01 2020-03-31 Boston Process Technologies, Inc Hot wall flux free solder ball treatment arrangement
CN111968926A (zh) * 2019-05-20 2020-11-20 北京北方华创微电子装备有限公司 半导体设备以及半导体工艺处理方法
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
CN113035752B (zh) * 2021-03-05 2022-11-11 上海广川科技有限公司 负载锁定装置及基片传片方法

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
CH626214GA3 (ko) 1979-02-07 1981-11-13
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4801241A (en) * 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS63291419A (ja) 1987-05-24 1988-11-29 Tatsumo Kk 加熱処理装置
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPH0333058Y2 (ko) 1987-06-26 1991-07-12
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
ES2163388T3 (es) 1988-05-24 2002-02-01 Unaxis Balzers Ag Instalacion de vacio.
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
ES2130295T3 (es) 1989-10-20 1999-07-01 Applied Materials Inc Aparato de tipo robot.
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
JP2595132B2 (ja) 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP2748070B2 (ja) * 1992-05-20 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
AU5019893A (en) * 1992-08-17 1994-03-15 Weyerhaeuser Company Particle binding to fibers
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
KR100261532B1 (ko) 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JP3380988B2 (ja) * 1993-04-21 2003-02-24 東京エレクトロン株式会社 熱処理装置
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
EP0634787B1 (en) 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
JP3846740B2 (ja) * 1994-02-25 2006-11-15 臼井国際産業株式会社 パイプの曲げ加工装置
US5431460A (en) * 1994-03-07 1995-07-11 Orscheln Co. Hood release latch mechanism including spring clutch means
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW309503B (ko) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
JP3225337B2 (ja) * 1995-07-13 2001-11-05 株式会社新川 マガジン搬送装置
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5716207A (en) 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
JPH09107036A (ja) * 1995-08-09 1997-04-22 Toshiba Corp 半導体装置
US5588627A (en) * 1995-09-19 1996-12-31 Franklin Brass Manufacturing Company Paper roller
US5616964A (en) * 1995-09-19 1997-04-01 Deere & Company Lawn and garden tractor interlock circuit
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
JPH09284344A (ja) * 1996-04-11 1997-10-31 Fujitsu Ltd Atm/stm混在ネットワークシステム
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3202929B2 (ja) 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR100271758B1 (ko) 1997-06-25 2001-01-15 윤종용 반도체장치 제조설비 및 이의 구동방법
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6215897B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101289024B1 (ko) * 2006-06-02 2013-07-23 어플라이드 머티어리얼스, 인코포레이티드 다수 슬롯 로드 록 챔버 및 그 운전 방법

Also Published As

Publication number Publication date
EP1109203A2 (en) 2001-06-20
US20070086881A1 (en) 2007-04-19
US20050016454A1 (en) 2005-01-27
JP2001257250A (ja) 2001-09-21
US20100107672A1 (en) 2010-05-06
TW550653B (en) 2003-09-01
JP4409756B2 (ja) 2010-02-03
US6949143B1 (en) 2005-09-27
SG90766A1 (en) 2002-08-20
KR20060125651A (ko) 2006-12-06
TW518640B (en) 2003-01-21
KR100916026B1 (ko) 2009-09-08
US7976635B2 (en) 2011-07-12
US7641434B2 (en) 2010-01-05
KR100809139B1 (ko) 2008-02-29
KR20070078420A (ko) 2007-07-31
EP1109203A3 (en) 2005-08-03

Similar Documents

Publication Publication Date Title
KR100809139B1 (ko) 이중기판 로드록 프로세스 장비
US7022948B2 (en) Chamber for uniform substrate heating
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
US5674786A (en) Method of heating and cooling large area glass substrates
KR100867839B1 (ko) 연속적인 증착 시스템
KR100614348B1 (ko) 기판 가열 및 냉각을 개선한 진공 프로세싱 장치
KR100773192B1 (ko) 기판을 처리하기 위한 방법 및 진공 장치
US6276072B1 (en) Method and apparatus for heating and cooling substrates
US7442900B2 (en) Chamber for uniform heating of large area substrates
KR20100048967A (ko) 기판 처리 장치 및 기판 처리 방법
KR100510610B1 (ko) 열처리 방법 및 열처리 장치
KR100270458B1 (ko) 스터퍼링후의 기판의 취급방법 및 스퍼터링 장치
JP2000161858A (ja) ガラス基板の熱処理方法および熱処理装置
WO2005069359A1 (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E601 Decision to refuse application
WITB Written withdrawal of application