TW466772B - Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device - Google Patents

Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device Download PDF

Info

Publication number
TW466772B
TW466772B TW087121651A TW87121651A TW466772B TW 466772 B TW466772 B TW 466772B TW 087121651 A TW087121651 A TW 087121651A TW 87121651 A TW87121651 A TW 87121651A TW 466772 B TW466772 B TW 466772B
Authority
TW
Taiwan
Prior art keywords
oxide film
silicon oxide
infrared light
manufacturing
scope
Prior art date
Application number
TW087121651A
Other languages
English (en)
Inventor
Mitsutoshi Miyasaka
Takao Sakamoto
Original Assignee
Seiko Epson Corp
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp, Mitsubishi Electric Corp filed Critical Seiko Epson Corp
Application granted granted Critical
Publication of TW466772B publication Critical patent/TW466772B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • C23C14/5813Thermal treatment using lasers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Thermal Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Recrystallisation Techniques (AREA)

Description

46677.2 A7 B7
五、發明説明(P 【技術範圍】 (請先閲讀背面之注意事項再填寫本頁) 本發明係有關經由氣相堆積法,製造高品質之氧化矽 膜的方法。此氧化矽膜係適於半導.體裝置之基底保護膜、 閘極絕緣膜、層間絕緣膜等。又,本發明係有關例如於 8 0 0 °C程度以下之較低溫,氧化半導體表面,形成高品 質之極薄氧化矽膜(未滿膜厚10 nm程度),製造高品 質之微細半導體裝置(例如金屬一氧化膜一半導體型場效 電晶體(MOSFET))的方法。又,本發明係有關例 如於6 0 0 °C程度以下之較低溫,於高性能下,製造高可 靠性之半導體裝置(例如薄膜電晶體)的方法。又,本發 明係有關於如此製造之高性能且高可靠性之半導體裝置及 具備此半導體裝置之高性能且高可靠性之半導體裝置(例 如,液晶顯示裝置),更且,又有關可製造高品質之氧化 矽膜的紅外光照射裝置者。 【背景技術】 經濟部智慧財產局員工消費合作社印製 氧化矽膜係廣泛用於多結晶矽薄膜電晶體(P - s i TFT)之閘極絕緣膜,或具有極薄氧化膜之VL S I等 之微細半導體裝置之閘極絕緣膜等。此氧化矽膜之品質係 會對此等半導體裝置之電氣特性有強烈的影響。 令氧化矽膜適於低溫P_S i . TFT之閘極絕緣膜 之時,於使用汎用之玻璃基板之例如6 0 Ot程度以下的 較低溫下,需形成氧化矽膜。爲此,以往使用化學氣相堆 積法(CVD法)或物理氣相堆積法(PVD法)。 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) -4- 46677 2 at _B7_·_ 五、發明説明(2) (請先閲讀背面之注意事項再填寫本頁) 又,於具有極薄氧化膜之V L S I等之微細半導體裝 置之製造,以往,於包含氧或鹽酸的氣氛下,於例如 8 0 0 °C程度以下之較低溫下,施以矽之熱氧化,於矽基 板施以照射氧電漿等之處理,得極薄氧化矽膜。 但是,此等以往之氧化矽係有由於氧化膜捕獲電荷爲 多等之理由,膜之品質呈極低之問題。 爲此,令以往之氧化矽膜做爲p_S i TFT之閘 極絕緣膜加以使用之時,有僅能得低品質•低可靠性之P -Si TFT的問題。此係氧化矽膜具有多量氧化矽膜 固定電荷之故,使半導體裝置之平板帶電壓(V r >)有所 變動,因表面捕獲率準位爲高之故,使臨限電壓(V t h ) 變大,更且氧化膜捕獲準位爲大之故,對於電荷之氧化膜 中之植入則變容易等。換言之,以往之p-S i TFT 等的半導體裝置係因氧化矽膜之品質爲低之故,有許多之 問題。 經濟部智慧財產局員工消費合作社印製 同樣之問題亦見於利用極薄氧化矽膜之V L S I等之 微細半導體裝置。一般而言,極薄氧化矽膜係於8 _ 〇 〇 °C 程度以下之較低溫下所形成之故,有低溫氧化之所有問題 點。此等之問題點則呈限制超積體電路之性能,縮短該壽 命之主因。 在此,本發明係爲解決上述問題點而成者,該目的係 提供經由氣相堆積法製造高品質之氧化矽膜的方法。例如 提供使用於8 0 0 °C程度以下之較低溫所形成之氧化矽膜 ,製造高性能且高可靠性之半導體裝置(例如薄膜電晶體 本紙張尺度適用中國國家橾準(CNS > A4規格(210X297公釐) ~ .46677 2 A7 B7_. _ 五、發明説明(3) )之方法,和提供如此高性能且高可靠性之半導體裝置或 顯示裝置,以及提供製造高品質之氧化矽膜的製造裝置。 (請先閲讀背面之注意事項再填寫本頁) 【發明之揭示】 本發明係首先做爲氧化矽膜形成工程,於絕緣性基板 (例如石英玻璃基板、汎用無鹼玻璃基板等),半導體基 板(例如單結晶矽基板、化合物半導體基板等)、金屬基 板等之各種基板上,經由氣相堆積法(例如化學氣相堆積 法(CVD法)、物理氣相堆積法(PVD法)等),堆 積氧化矽膜。又,經由氧化性氣氛下半導體物質表面之熱 處理(熱氧化)或半導體物質表面之氧化性物質(氧或亞 氧化氮等)之電漿照射(電漿氧化)、臭氧(0 3 )供給( 臭氧氧化)加熱金屬觸媒所產生之活性氧供給(活性氧氧 化)等,氧化半導體物質表面,形成氧化矽膜。 經濟部智慧財產局員工消費合作社印製 此等之氧化膜形成工程係直接於半導體基板或玻璃基 板上,做爲場氧化膜、閘極絕緣膜、層間絕緣膜、基底保 護膜等形成氧化矽膜時,於形成於玻璃基板或單結晶矽基 板之表面的氧化膜等之絕緣物質上,做爲半導體膜形成工 程,於形成矽單體或矽爲主體的半導體膜之後,於此半導 體膜上形成氧化矽膜亦可。 令矽爲主體之半導體膜係半導體由矽和鍺等之其他元 素的混合物所成,矽之構成比爲8 0 %程度以上的半導體 膜。又,於矽單體之半導體膜中,包含於矽中含有P、B 、A l 、A s等之不純物的半導體膜。因此,本1發明所 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6- 466772 a7 B7 五、發明説明(4) 請氧化矽膜不單指純粹之氧化矽膜(S i Οχ膜:X係略2 ),亦意味含有此元素或此氧化物之氧化矽膜之意。矽物 質係有單結晶狀態 '多結晶狀態、非晶質狀態、以及多結 晶和非晶質混合之混晶質狀態等。 氣相堆積法之氧化堆積工程係於6 0 0 °C程度以下之 較低溫下加以進行。PVD法可使用濺射法、蒸著法等。 又’ CVD法則使用常壓化學氣相堆積法(APCVD法 )、低壓化學氣相堆積法(LPCVD法)、電漿化學氣 相堆積法(P E C V D法)等。 熱氧化之氧化膜形成工程係於含有氧或水蒸氣、鹽酸 等之氧化氣氛下,於自6 0 0 °C至1 0 0 0 °C程度之溫度 範圍內’處理半導體物質所加以進行者。膜厚係於形成 1 0 n m程度未滿之極薄氧化膜時,.熱氧化係於8 0 〇 °c 程度以下之溫度加以處理者爲多。又,於電漿氧化、臭氧 氧化、活性氧氧化等之氧化膜形成工程中,半導體物質之 溫度係仍然於6 0 0 °C程度以下之狀態加以處理(以下, 本說明書中將8 0 0 t以下之熱氧化、臭氧氧化、活性氧 氧化總稱爲低溫氧化)。如此地,經由低溫氧化法所得氧 化矽膜係較於1 1 0 0 °c程度以上之溫度所得厚熱氧化膜 (膜厚5 0 n m程度以上),一'般而言爲低品質者。 在此,本發明係經由以下之紅外線照射工程,進行此 等氧化矽膜之膜厚的改善。於紅外光照射工程中,於經由 前述之氣相堆積法所得氧化矽膜或經由低溫氧化法所得極 薄氧化膜,照射紅外光。照射紅外光係經由氧化矽膜所吸 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) n·——-Ji-----1 ^ 11 c c請先閲讀背面之注意事項再填寫本頁) -訂_ 經濟部智慧財產局員工消費合作社印製 46677 2 A7 £7_ 五、發明説明(5) (請先閲讀背面之注意事項再填寫本頁) '收,上昇氧化膜之溫度。經由此溫度之上昇,氧化矽膜本 身或界面之改性則被進行。.對於紅外光之氧化矽膜之透過 光強度I爲根據入射光強度Iq、氧化矽膜之膜厚爲t ( cm)、紅外光之氧化矽膜的吸收係數k(cm_1)時, 以 I = I〇exp (-k· t) 加以表達。基板由具有與玻璃等之氧化矽膜同等光學特性 之物質所成時,或對照射紅光光之吸收係數較氧化矽膜爲 大之物質所成之時,照射紅外光被氧化矽膜所吸收的同時 ,於玻璃等之基板亦被吸收。因此,當氧化矽膜之吸收率 過低之時,不但效果上氧化矽膜之溫度不會上昇i反而主 要經由基板紅外光被加以吸收,結果而言,會造成基板之 損傷。具體而言,會有基板之裂開,或扭曲。爲此,紅外 光之溫度上昇於氧化矽膜爲大,於玻璃等之基板爲小地被 加以期望。本發明之對象的氧化矽膜之膜厚係厚度不過是 1 之程度,其他之玻璃等之基板則有通常數百;czm程 經濟部智慧財產局員工消費合作社印製 度之厚度。因此,氧化矽膜之紅外光之吸收對於入射光而 言超過1 0%程度之時,基板之吸收係不足9 0%之程度 。此時,氧化矽膜和基板之厚度由於有數百倍之差異,基 板溫度上昇係較氧化矽膜溫度之上昇爲低。紅外光係經由 表面照射,通過氧化膜後,進入基板之故,爲使自氧化矽 膜之透過光不足9 0%程度,僅需滿足上式之 k · t > 〇 . 1 即可。基板如單結晶矽時,對紅外光之吸收係數較氧化矽 膜之吸收係數明顯爲小之時,紅外光之氧化矽膜的吸收即 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -8- 4 6 677 2 A7 B7 五、發明説明(6) 使爲小,基板損傷之虞亦低之故,可呈 k · t > 〇 . 〇 1 (請先閲讀背面之注意事項再填寫本頁) 到此所言,於氧化矽膜照射紅外光.,進行膜質之改善時, 必需令紅外線被氧化矽膜所吸收才行。圖1係顯示電子迴 旋加速器共鳴電漿化學氣相堆積法(ECR - PECVD 法)所堆積之氧化矽膜之紅外光吸收特性。左縱軸係表示 氧化膜之吸光度a、右縱軸係顯示吸收係數k (cm — 1) 。吸光度a和吸收係數k間則有 k=ln(l〇) *a/t 之關係。惟,t ( c m )係氧化矽膜之膜厚。圖1之橫軸 係紅外光之波數(cn^1)及對應光之波長(a m)。 一般而言,於氧化矽膜存在對三種經外光之吸收峯。 非對稱伸縮峯(ABS) 、對稱伸縮峯(SBS)及結合 彎曲峯(BB)。可由圖1得知,非對稱伸縮峯爲波數 1 0 5 7 c m - 1 (波長9 . 4 6 M m )附近,吸收係數係 2 7 2 6 0 c m - 1。對稱伸縮峯係8 1 5 c m - 1 (波長 1 2 . 2 7 # m )附近,吸收係數爲2 2 9 0 c m 一 1。結 經濟部智慧財產局員工消費合作社印製 合彎曲峯爲波數457cm — 1 (波長21 . 88ym)附 近,吸收係數係8 0 9 0 c m _ 1。照射紅外光之波長係配 合此等三種吸收峯即可。因此,紅外光之波長爲使於非對 稱伸縮峯吸收,具有8.929//111(波數1120 c m _ 1 )至約1 0 m (波數1 〇 〇 〇 c m _ 1 )間即可, 爲使於對稱伸縮峯吸收,具有11 . 3 6 4/zm (波數 880cm-1)至約 13 . 158 (波數 760 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -9 - 6 6772 A7 _ B7 五、發明説明(7) c m — 1 )間即可,爲使於結合彎曲縮峯吸收,具有 19 . 23 1;wm (波數 52〇cm-1)至約 25 仁 m ( (請先閲讀背面之注意ί項再填寫本頁) 波數4 0 0 c m - 1 )間即可。 最有紅光光吸收之效果者,係吸收係數最大之非對稱 •伸縮峯。氣相堆積法所得最低品質之氧化矽膜中,非對稱 伸縮峯之吸收係數係具有25 0 0 0 c m_1程度之値•因 此,對氣相堆積法所得之氧化矽膜,爲滿足先前之吸收係 數和氧化膜厚之關係,氧化矽膜之厚度爲4 O n m程度以 上即可。同樣地,於將單結晶矽基板於8 0 0 °C程度以下 氧化之時,氧化膜之吸收係數爲3 0 0 0. Ocm — ..1程度以 上之故,氧化膜厚係有最小爲3 . 3 n m程度,可於不損 及基板地,進行極薄氧化膜之膜質改善》 即,於本發明照射氧化矽膜之紅外光係需包含經由氧 化矽膜吸收之波長成分。然而,雖可包含氧化矽膜所不吸 收之波長成分,但就爲減輕基板、半導體膜之損傷,其比 例則愈少愈佳。換言之,於本發明照射於氧化矽膜的紅外 經濟部智慧財產局負工消費合作社印製 光,係以包含氧化矽膜所吸收之波長成分爲主成分者爲佳 〇 又,於本發明中,照射氧化矽膜之紅外光係於氧化矽 膜之吸收的波長成分中,特別含有對應氧化矽膜之非對稱 伸縮振動的波長成分者爲佳。吸收係數爲大之故,於氧化 矽膜之加熱時特別有效。雖可包食不對稱伸縮振動之波長 成分,但由基板加熱效率視之,該比例係僅可能愈少愈好 。換言之,本發明中照射於氧化矽膜之紅外光係包含對應 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -10- .46677 2 A7 B7 五、發明説明(8) 於氧化矽膜之非對稱伸縮振動的波長成分的主成分者爲佳 〇 (請先W讀背面之注意事項再填寫本頁) 由以上觀點視之,於i發明照射氧化矽膜之紅外光係 包含8.9程度以上10程度以下之波長成分爲 佳,更佳爲包含8. 9 程度以上1 〇 程度以下之 波長成分爲佳。 對應此要求,將具有氧化膜之非對稱伸縮峯附近之波 長的雷射光做爲紅外光照射即可。雷射光係以.狹窄之波長 範圍加以振盪之故,不加熱氧化矽膜之波長光則將極少照 射至基板或半導體膜。做爲如是之雷射光,最佳者爲二氧 化碳雷射,而其中特別以波長9 . 3 # m附近之二氧化碳 雷射爲佳。對於波長9 . 3 # m附近之二氧化碳雷射則後 述之。 二氧化碳雷射光係以9 . 3055±0 . 0 0 05 之波長(波數 1074 . 63±0 .05(:111-1)爲 代表,於自8 .9//m (波數1124cm — 1)至11 經濟部智慧財產局員工消費合作社印製 (波數9 0 9 cm — 1)的波長帶具有許多振盪線。此 等之光波數係幾近等於氣相堆積法或8 0 0°C程度之較低 溫所得之氧化矽膜之非對稱伸縮峯。圖1 4係顯示本發明 所使用之二氧化碳雷射光的振盪線圖。各振盪線之波長之 搖擺係0 . 0 0 05#m之程度,換算爲波數時爲 0 . 0 5 cm — 1。於此等之振盪線內特別適用於照射紅外 光者,係爲幾乎被氧化矽膜強烈吸收的波長9 . 2 6 0 5 ±0 . 0005//m (波數 1079 . 85±0 . 05 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -11 - 466772 A7 _ B7___ 五、發明説明(9) (請先閲讀背面之注意事項再填寫本頁) cm_1)程度至波長 9 . 4885±0 · 0005#m ( 波數.1 0 5 3 · 9 1±〇 . 0 5 cm_1)程度的振盪線( 令此二氧化碳雷射光稱爲波長9 . 3 /im (波數1 0 7 5 c m _ 1 )附近的二氧化碳雷射光)。 氧化矽膜之非對稱伸縮峯位置係根據膜質之下降,難 以術動至低波數側。實際上,氣體堆積法所得氧化矽膜之 非對稱伸縮峯係紅外光之波數位於1 0 5 5 c m ~ 1程度至 1070cm-1程度,此値係幾乎與波長9 . 3 (波 經濟部智慧財產局員工消費合作社印製 數1 0 7 5 cm — 1)附近之二氧化碳雷射光的波數一致。 更且,如此低品質膜中,非對稱伸縮峯之半値寬度亦有變 大之傾向,該値係有到達1 0 0 cm — 1之情形。爲此,非 對稱伸縮峯則自波長9 . 3 附近之二氧化碳雷射之波 數偏移時,氧化矽膜係可充分吸收二氧化碳雷射光。經由 二氧化碳雷射光照射,伴隨改善氧化膜質,半値寬度雖會 變小,非對稱伸縮峯亦移至高波數側之故,依然氧化膜係 可有效吸收波長9 . 3 # m附近之二氧化碳雷射光。氧化 單結晶矽基板,得氧化矽膜之時,於氧化溫度1 1 0 0 t 程度以上時,氧化膜之品質爲高之故,非對稱伸縮峯係位 於1081 cm — 1程度。氧化溫度不足1 100 °C程度時 ,氧化溫度每下降1 0 0 t:,以約2 c m — 1之比例,非對 稱伸縮峯之位置係移向低波數輒,於8 0 0 °C之氧化時, 呈1075cm-1。此値係一致於波長9.3#m之二氧 化碳雷射光之波數値,可知波長9 . 3 //m附近之二氧化 碳雷射光做爲照射紅外光爲理想者。照射雷射光係如 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12- 4 6 6772 A7 B7
五、發明説明(J (請先閲讀背面之注意事項再填寫本頁) 9.3〇55±〇.〇〇〇5#m之波長,可爲單一振盪 9 . 3 # m附近之波長的光亦可,同時振盪複數條具有 9 . 3//m附近之波長亦可。 以紅外光照射改質氧化膜時,以高溫進行長時間之熱 處理爲佳。根據實驗得知,一次之紅外線照射時間呈不1 足0 . 1秒程度時,氧化膜之改質變得明顯時爲氧化膜之 溫度超越800 °C之程度之時。因此,使氧化膜呈0 . 1 秒程度之期間8 0 0 °C程度以上之溫度地,進.行紅外光照 射時,可確實進行氧化膜之改質。氧化膜改質所需之溫度 和時間之關係係氧化膜每上昇5 0 eC,處理時間則呈短一 位數之關係。因此,令紅外光照射氧化膜,氧化膜溫度則 上昇,令8 〇 o r程度以上時之任意氧化膜爲τ〇χ (°c ) ,到達該溫度T。X時間之總和爲7: c s )時,T。X和r爲 滿足 r >exp(ln(10) · (b · T〇«+15)) b = -0.02rc ·丨) 之關係,即滿足 經濟部智慧財產局貝工消费合作社印製 τ >exp(-0.04605 · Τ〇χ + 34.539).........(1) 之關係的T。χ存在之條件下,照射紅外光時,氧化膜則被 改質。由此,減少氧.化膜電流,絕緣耐壓則上昇,減少氧 化膜固定電荷,減低氧化膜捕獲率準位。 然而,形成於將氧化矽膜爲矽單體或矽爲主之半導體 物質上時,本發明之紅外光照射係改善氧化膜的同時,可 改善半導體和絕緣膜之界面特性。不論是氣相堆積法或低 本紙張尺度適用中國國家標準(CNS > Α4規格(210X297公釐) -13 - 4 6 677 2 A7 A 7 B7 五、發明説明(ιί (請先閲讀背面之注意事項再填寫本頁) 溫氧化法,於氧化膜形成之後,一定於半導體膜和氧化膜 之界面會殘留大的氧化應力。於半導體(例如S i )之低 溫氧化,令氧化膜(例如έ i ◦ 2中),擴散氧等之氧化反 應物質(例如〇2),反應物質到達氧化膜和半導體膜之界 面後,反應物質則將氧原子供予半導體構成原子間(例如 S i _ S i間),以形成新氧化層(例如S i — 0 — S i )的機構下,成長氧化膜。爲此,半導體中鄰接之半導體 原子間距離(例如S i — S i間距離),和將氧原子挾於 中間之氧化膜中的半導體原子間距離(例如S i - 0 -S i中之S i和S i間之距離)則當然有所不同。.此原子 間距離之不同於半導體膜中會產生拉伸應力,於氧化膜中 ,會產生壓縮應力。氧化溫度愈高之時(大約1 0 7 0°C 程度以上時),於氧化膜會產生粘性流動,經由氧化產生 之應力則被緩和。但是,氧化溫度於不足1 0 7 0 °C之程 度下,應力緩和時間明顯變長之故,經由氧化所產生之應 力係不被緩和,殘留於挾於界面之兩薄膜中。 經濟部智慧財產局員工消費合作社印製 以氣相堆積法形成氧化膜時,會產生同樣之情形。於 此氧化膜堆積之初期中,使甩於氣相堆積法之氧化促進物 質(〇2或〇3等)則進入半導體構成原子間,形成〇 . 5 nm程度至2.Onm程度之極薄氧化膜,此後於此等極 薄氧化膜上,堆積氣相堆積法之氧化膜。如前所述,氣相 堆積法係於6 0 0°C程度以下之溫度加以處理之故,極薄 氧化膜形成時之氧化應力係不會緩和。不論是單結晶膜或 多結晶膜,氧化應力係變動半導體構成原子之晶格間隔之 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公釐) -14- 4 6 677 2 A7 B7 五、發明説明(d (請先閲讀背面之注意事項再填寫本頁) 故’於半導體膜-氧化膜界面,形成對電子或正孔之捕獲 準位。同時,令表面之荷電載體(位於導電帶之電子或位 於價電子帶之正孔)的移kj度變小.。本發明係經由紅外光 照射’局部上昇氧化膜之溫度,解除存在於半導體膜-氧 化膜之界面的氧化應力,形成優質之界面。 於紅外線照射之界面改質存在最佳之條件。圖2係參 考氧化矽膜之Irene之理論(E. A. Irene et al. : J. Electrochem. Soc. 129(1982)2594),計算應力緩和時間(縱 軸)和熱處理溫度(橫軸)的關係圖。例如,熱處理溫度 爲1230 °C時,於0 .1秒程度以上之熱處理時間,產 生氧化膜之粘性流勢,解除氧化應力。因此,根據紅外光 照射的界面改質亦滿足較圖2之曲線上側之條件地(圖中 以紅外線照射有效範圍所記載之範圍),設定照射條件即 可。具體而言,令紅外光照射氧化膜,上昇氧化膜溫度, 呈1 ο ο 〇 °c以上時之任意氧化膜溫度爲τ。X (t ),到 達該溫度T。X時間之總和爲7: ( S )時,Τ ο X和r爲滿足 經濟部智慧財產局員工消費合作社印製 r >2 · (1+ V ) · 77 /E V =V 〇 * exp(:e /(k · (Tox + 273.15)) 之關係,即滿足 r > 2 (1 + ν)·η〇· Qxp(£/(k · (Τοχ + 273.15)))/£Κ (2) 之關係的Τ οχ存在之條件下,照射紅外光即可。惟,ν係 氧化膜之波氏比,Ε係該楊式率,7?係該粘度,7? 〇係粘度 之因子,e係粘度之活化能量,Κ係表示波茲曼定數,得 以下之數値。 本紙張尺度適用中國國家標準(CNS >A4規格(210X297公釐) 46 67 7 2 at B7 五、發明説明(y κ = 0.18 Ε = 6.6 xlOu dyn cm~2 η0 = 9.5A9x.\0~u dyri's' cm~2 s = 6.l2eV Λ = 8.617 x 爲不損及基板或半導體膜地,完成氧化膜之紅外光的 熱處理,加熱基板上之同一地點之時間係以不足0 . 1秒 爲佳。此係根據急速熱處理(RTA)之經驗,於800 °C程度以上之溫度中,以1秒程度之加熱時間,玻璃基板 會彎曲破裂,而矜不足0 . 1秒程度之短時間處理中,則 不會產生上述之問題。T。X爲1 2 3 0 °C程度以上時,可 令1次照射設定呈不足0 . 1秒,於1 2 3 0 °C程度以下 ,1次照射是無法滿足此條件。因此,於T ◦ X爲1 2 3 0 °C程度以下之紅外光照射條件,改善界面特性時,令1次 照射時間爲_不足0 . 1秒程度,且將此照射重覆數次,r 以總和而言滿足先前之不等式地照射紅外光即可。於此意 義中,紅外光係較連續振盪,具有周期性之非連續振盪者 較佳。 具有周期性之紅外光之非連續振盪係以如圖3所示之 歷時圖進行。紅外光之一周期係由振盪(t QN)和非振盪 期間(t。F F )所構成。爲使半導體等之氧化膜以外的物 質之熱彎曲於最小狀況停止,振盪期間以與非振盪期間同 等或較非振盪期間爲短者爲佳(t $ t。F F )。由於振 盪期間較非振盪期間爲短,散熱可被確實地進行。更且考 量生產性之後,理想上使振盪期間和非振盪期間略同即可 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) (請先閲讀背面之注意事項再填寫本耳」
經濟部智慧財產局員工消費合作社印製 -16 466772 A7 ____ B7 · _ 五、發明説明(j (請先閲讀背面之注意事項再填寫本頁) 有關於紅外光照射還有一點必需注意,乃是有關氧化 膜之到達最高溫度之控制。令氧化膜如閘極絕緣膜或層間 絕緣膜形成於半導體物質Ji,於此.氧化膜,進行紅外光1 照射之時,氧化膜之到達最高溫度係爲半導體物質之融點 以下者爲佳。例如,半導體物質係真性矽矽或包含少許不 純物(不足不純物濃度1 %程度)的矽時,矽之融點係約 1 4 1 4 °C之故,紅外光照射之氧化膜之到達最高溫度係 不足1 4 1 程度爲佳》此係因爲會產生半導體物質熔 融時,半導體中之不純物濃度會改變,或進行氧化膜和半 導體之界面之無秩序再構成化,增大界面準位,於更惡劣 之時,半導體物質則蒸發飛散,而破壞半導體裝置等之惡 劣現象。爲避免如此之現象,爲安定製造優良之半導體裝 置,令氧化膜之到達最高溫度呈半導體物質之融點以下即 可。 經濟部智慧財產局員工消費合作社印製 半導體物質呈多結晶質或非晶質狀態之時,於半導體 中,此不對稱結合對係以氫(H)或氟(F )等之原子加 以終端化者爲佳。不對稱結合對係於炎禁制帶中之深位置 (禁制帶之中央附近),減少作成對於電子或正孔之捕獲 準位,傳導帶之電子數或價電子帶之正孔數。而且荷電載 體則散亂,移動度則下降。不對稱結合對係透過如此之原 理,減低半導體之特性。由於紅外光照射之氧化膜之溫度 上昇係氧化矽膜本身和界面被明顯改質的同時,由於氧化 膜至半導體物質之熱傳導,有使呈不對結合對之氫或氟脫 離之虞。因此,爲製作光變換效率高之太陽電池、低電壓 本紙張尺度適用中國國家標準(CNS ) A4規格(210X2.97公釐) •17- 466772 A7 B7_ 五、發明説明(j (請先閲讀背面之注意事項再填寫本頁) 高速動作之薄膜電晶體等之優良半導體裝置時,於,紅外 光照射後,設置氫電漿照射等之不對結合對終端化工程爲 佳。經由此工程,減少經由紅外線.照射所產生之不對稱結 合對數,因此增大荷電載體之數,同時可提升移動度。 經濟部智慧財產局員工消費合作社印製 本發明之紅外線照射中,氧化膜之同一地點則照射一 次加熱的期間爲不足0 . 1秒程度之短時間爲佳。於如此 之短時間照射中,不單是可防止基板之熱受損,自氣相透 過氧化膜之氧等之半導體裝置的反應性氣體的擴散會變得 非常小之故,令照射氣氛呈空氣中即可。照射期間愈長, 空氣中之氧則擴散至界面,於半導體物質之冷卻過程中, 有形成新低溫氧化層之虞。由此,界面特性之改質則將變 得無意義。於此意義中,令照射氣氛呈氮或氦、氬等之非 活性氣體者爲佳。經由紅外光照射,半導體物質表面係加 熱至融點附近之故,做爲照射氣氛較可氮化之氮氣,以氦 或氬等之稀有氣體者爲佳。如此之時,不於基板或半導體 物質有所損傷,無對於紅外光照射期間之·限制,而得優質 之界面。即此照射氣氛控制係對擴散容易之極薄氧化膜變 得重要。 於本發明之半導體裝置之製造方法中,半導體膜具有 不足挾於半導孽矽膜之膜厚2 0 0 nm程度的薄結晶性膜 之構成時,半導體裝置之電氣特性係顯著改善。具有此構 成之半導體裝置係具有半導體膜和上側氧化膜之界面,及 半導體膜和下側氧化膜之界面的兩界面。於半導體膜添加 施體及受體的不純物,做爲配線加以利用之時,此等兩界 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 18- 466772 A7 B7 ____ 五、發明説明(d (請先閲讀背面之注意事項再填寫本頁) 面則寄託於電氣傳導。又,做爲S 0 I型半導體裝置之有 源層,利用半導體膜之時,薄半導體膜整體會空乏化之故 ,兩界面則影響至電氣特性。於此.構造施以紅外光照射時 ,挾持體膜之上下的氧化膜,於紅外光照射加以加熱,由 ’此改善兩界面之品質。更且,結晶性半導體膜爲多結晶之 時.,經由上下之氧化膜的熱傳導,半導體膜亦自然被加熱 ,亦產生多結晶性半導體膜之再結晶化。經由此再結晶化 ,構成多結晶半導體膜之結晶粒則變大,爲減.少半導體中 之缺陷數,半導體特性則更被加以改善。 如以上所述,本發明係經由追加以往低品質之氧化矽 膜(以氣相堆積法所形成之氧化矽膜,以低溫氧化法所得 之極薄氧化膜),照射紅外光之工程,可改善至高品質之 膜,結果半導體-氧化膜之界面狀態亦變得優質化。又, 半導體膜挾持於第1氧化膜和第2氧化膜之時,可改質雙 方之界面。更且,半導體爲結晶性膜時,可提升此結晶性 。由此提升化表薄膜電晶體之半導體裝置之電氣特性,同 時,可增加半導體裝置之動作安定性或可靠性的優異效果 經濟部智慧財產局員工消費合作社印製 【爲實施發明之最佳形態】 本發明之半導體裝置係至少含有形成於絕緣性物質之 第1氧化矽膜上的半導體膜,和形成於此半導體膜上之第 2氧化矽膜。爲上閘型之半導體時,第1氧化矽膜係相當 於基底保護膜,第2之氧化矽膜係相當於閘極絕緣膜。相 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) -19- 4 6 677 2 A7 B7 五、發明説明(d (請先閲讀背面之注意事項再填寫本頁) ‘反地,爲下閛型之半導體裝置時,第1氧化矽膜係相當於 閘極絕緣膜,第2氧化矽膜係相當於層間絕緣膜。又,本 發明之顯示裝置係具有如lit之半導體裝置》 爲作成此等之半導體裝置或顯示裝置,開始則準備基 板,做爲基板,一般爲玻璃,單結晶矽等,除此之外之基 板時,只要可耐半導體裝置製造工程中之最高溫度,而且 半導體膜之不純物混入充分爲小時,該種類及大小則無關 〇 首先,於基板上,將第1氧化矽膜係以可英玻璃基板 加以兼用。 經濟部智慧財產局員工消費合作社印製 接著,於至少半導體膜接合之面呈第1氧化矽膜的絕 緣性物質上,形成半導體膜。此半導體膜形成工程係令半 導體膜以氣相堆積法等堆積之後,將代表雷射光或熱等之 高能量體,供於此半導體膜後,至半導體膜之熔融結晶化 進行固相結晶。於最初堆積之薄膜爲非晶質,爲非晶質和 微結晶混合之混晶質時,此工程係通常稱結晶化。一方面 ,最初堆積之薄膜爲多結晶質時,此工程稱之爲再結晶化 。本說明書中兩者皆單純稱爲結晶化,不特別區別此等。 做爲高能量體,最爲優異者爲氟化氪(K r F )激元雷射 、氯化氙(X e C I )激元雷射。經由此等之照射,半導 體薄膜之至少表面則呈熔融結晶化《於熔融結晶化中,熔 融範圍內之結晶粒係於粒內具有無缺陷之優異特性。反觀 ’供予熔融結晶化時之能量値的控制因非常困難之故,當 對半導體薄膜之激元雷射等之照射能量密度較最佳値大一 本紙張尺度適用中國國家榇準(CNS ) A4規格(210X297公釐) -20- 466772 A7 B7 五、發明説明(d (請先閲讀背面之注意事項再填寫本頁) 點之時,構成多結晶膜之結晶粒徑則由1 / 1 0至 1/1 0 0突然變小,最糟之時,會使半導體膜消失。因 此,本發明中,將照射雷ΐί能量密度較最適値呈較低之 5mJ · cm — 2至50mJ · cm — 2之程度,進行半導體 •膜之熔融結晶化。結果,半導體膜之熔融結晶化則安定地 進行。當然於此狀態下,多結晶半導體膜之結晶性雖不優 異,但本發明中,於之後之工程存在氧化膜之紅外光照射 工程。 即,於如此所得之結晶性半導體膜上,經由氣相堆積 法或低溫氧化法,形成第2氧化矽膜,於此氧化膜形成工 程終了後,於第2氧化矽膜,設置照射紅外光之光照射工 程。 經濟部智慧財產局員工消費合作社印製 以紅外光照射加熱氧化矽膜時,半導體膜亦以接近半 導體熔融溫度,加熱較長之數;as至數ms的長時間。前 述熔融結晶化中,半導體膜係於熔融溫度加熱數十n s之 期間。與此比較,光照射工程之半導體溫度則略爲降低。 但是該加熱處理時間係到達百倍至百萬倍,因此於熔融結 晶化不充分之半導體膜之結晶性,則由光照射工程明顯地 被加以改善。於熔融結晶化時,僅於半導體膜之表面附近 ,可形成高品質之結晶粒,於第1氧化矽膜附近的半導體 膜之下部殘留多量之微細缺陷或非晶質成分。此殘留成分 於光照射工程中,令表面附近之優質結晶粒爲晶種加以結 晶化,結果於半導體膜次膜厚方向整體形成優良之結晶化 膜。可由如此原理可理解,半導體挾於第1氧化矽膜和第 本紙張尺度逍用中國國家揉準(CNS > A4规格(210X297公釐) -21 - 466772 A7 B7 五、發明説明(j 2氧化矽膜係意味於光照射工程時,經由上下兩方加熱, 如此地,於半導體膜整體可進行均.句之結晶化。可見於熔 融結晶化膜之同樣作用係於半導體.膜之結晶化之固相亦會 產生。固相結晶化膜係多量含有結晶粒內缺陷,經由本發 明之光照射工程進行再結晶化,減低此等之粒內缺陷。 半導體膜成膜於某基板之系統中,半導體膜係一定具 有上側界面和下側界面。於半導體膜添加不純物,做爲電 氣傳導利用之時,於上側界面和下側界面之兩界面附近, 存在電流路徑。同樣地,將半導體膜做爲場效型半導體裝 置之有源層(通道形成範圍)加以利用之時,有源層之厚 度不足1 5 0 nm之程度時,半導體膜整體供予電氣傳導 之故,兩界面之良窳則直接影響半導體裝置之電氣特性之 優劣。於本發明中,半導體膜挾於第1氧化矽膜和第2氧 化矽膜,對於半導體膜之紅外光的吸收係數則較氧化膜之 吸收係數,小數位數以上地,選擇照射紅外光之故,兩界 面係於幾近同溫度加以加熱,改質到同樣良質之界面狀態 。如此可製作電氣特性之優異半導體裝置。 經濟部智慧財產局員工消费合作社印製 (實施例1 ) 圖4係顯示紅外光照射之氧化膜溫度變化圖。做爲紅 外光,使用二氧化碳雷射光,將此紅外光照射於構成閛極 絕緣膜之氧化矽膜時,將被覆氧化矽膜之溫度變化以電子 計算機加以列表者。縱軸顯示氧化矽膜表面之溫度,橫軸 係顯示自開始照射之瞬間之時間。做爲基板係設定汎用無 本紙張尺度適用中國國家揉準(CNS ) A4规格(210X297公釐) -22- 經濟部智慧財產局員工消費合作社印製 d 6 67 7 2 A7 B7 五、發明説明(y 鹼玻璃。於基板上,基底保護膜之氧化矽膜爲E C R -P E CVD法堆積2 Ο 0 nm膜厚,.於其上多結晶矽膜則 以5 Ο n m之厚度,更且於其上,.閘極絕緣膜之氧化矽膜 爲ECR — PECVD法堆積1 〇〇nm膜厚。閘極絕緣 膜和基底保護膜之光學特性係與示於圖1者相同。於此膜 構造之試料,經由基板之表面側(即閘極絕緣膜側),照 射二氧化碳雷射。二氧化碳雷射之波長係設定9.3 (波數1075 cm — ^ ,對此紅外光之ECR — P E C V D法的氧化矽膜之吸收係數k係2 6 2 0 0 c m - 1。因此,吸收係數和閘極氧化膜之厚度積k · t係 呈0 . 2 6 2,對於閘極絕緣膜之入射光的透過光的比例 爲7 7%。令二氧化碳雷射光之閘極絕緣膜表面的能量密 度,呈2 0 0 m J · c m — 2,計算該振盪期間(t。N )於 1 〇// s之照射條件之氧化膜溫度變化。惟,在此設定單 發之雷射照射,因此非振盪期間(t。F F )則呈無限大。 如圖4所示計算結果,氧化膜之溫度則上昇至 1 300°C以上的時間r13〇〇係呈4 · 6仁s之程度,同 樣地氧化膜溫度上昇至9 0 0 °C以上之時間τ 9。〇係呈 13 . l#s之程度。於9 00 °C進行氧化帶改質時,根 據(1)式時,r9QQ係需lms程度以上才行之故,令 此照射重覆7 7次以上,將超越9 0 · 0 °C之總時間呈 13.1仁3\77 = 1.008 71113時,較11113爲 長才行。可是,實際係到達1300 °C以上之溫度的時間 7:13。。係呈4.6//8之程度。根據(1)式於13 00 (請先閲讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 23 A7 B7 46677 2 五、發明説明(2i) (請先閲讀背面之注意事項再填寫本頁) °C進行氧化膜改質時,以1 X 1 0 1 1 1程度以上之時間即 可,實際上以此一次紅外光照射,充分達成氧化膜之改質 。如此例所明示,爲進行氧化膜或界面之膜質改善,滿足 條件式(1)和(2)之任一溫度即可。 於圖4之條件,改質氧化膜一半導體膜之界面,必需 將自(2 )式及圖2的氧化膜溫度到達1 3 0 0 °C以上之 總時間,呈1 3 . 8 m s程度以上。另一方面,一次之非 連續振邊照射之r ι.3_〇。係4 · 6 s程度之故.,同樣照射 重覆3000次程度以上,呈4 . 6#sx3000 = 1 3 . 8 m s,可將到達1 3 0 0 °C以上之總時間呈 1 3 . 8 m s程度以上。將振盪期間(t。N )和非振盪期 間(t 〇 F F )皆呈1 〇 // s時,一周期則呈2 0 // s,振 盪頻率爲50KHz。因此,爲達成界面之改質,呈20 以sx 3 0 00 = 60ms ,於5〇Khz之振盪頻率, 將同一地點照射6 0 m s程度以上即可。 經濟部智慧財產局員工消費合作社印製 現在市販之二氧化碳雷射中,具有4 kw程度的輸出 。將此以5 0 K h z振盪之時,各照射之能量係呈8 0 mJ,於先前之照射條件之200mJ · cm — 2的能量密 度中,可照射0 . 4cm2之範圍》0 . 4cm2之面積係 相當於寬度爲0 . 1mm,長爲4 0 0mm之短冊狀範圍 。於4 0 Ommx 4 0 〇mm之大型玻璃基板,照射紅外 光,於基板之長度方向,掃瞄短冊狀之照射範圍(基板之 長度方向和照射範圍之寬度方向一致)。將基板上之同一 地點,照射3 0 0 0次,對短冊狀照射範圍之寬度( 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) -24- 4 6 677 2 . a? __B7____ 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) 0 . lmm)方向而言,於各照射照射範圍移動3 . 33 X 1 0 - 6 m m即可。振盪頻率爲5.0 K h z之故,此係照 射範圍具有1 . 67mm>s之掃瞄速度。即,500 mm之長度方向之照射時間係呈3 0 0秒程度,可充分供 '予實用。 (實施例2 ) 圖5 (a)〜(d)係將形成MOS型場.效電晶體的 薄膜半導體裝置之製造工程,以截面加以顯示之圖。實施 例2中,做爲基板5 0 1,歪點則使甩6 5 0 t程度之汎 用無鹼玻璃。 首先,於基板501上,以ECR—PECVD法, 將第1氧化矽膜堆積2 0 0 nm程度.,呈基底保護膜 502。第1氧化矽膜之ECR — PECVD法的堆積條 件係如下所述》 經濟部智慧財產局員工消費合作社印製 單矽院(S i Η 4 )流量…6 0 s c c m 氧(〇2)流量…lOOsccm 壓力…2 . 4mTo r r_ 微波(2 . 45GHz)輸出…2 2 5 0 W 施加磁場…8 7 5Ga u s s 基板溫度…1 0 0 °C 成膜時間…4 0秒 於此基底保護層上,做爲半導體膜,將真性非晶質矽 膜,於LPCVD法,堆積5〇nm程度之膜厚》 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) -25- 4 667 7 2 A7 B7 五、發明説明(g (請先閱讀背面之注意事項再填寫本頁) LPCVD裝置係於熱壁型,容積爲184.51,基板 插入後之反應總面積係約4 4 0 0 0 cm2。堆積溫度係以 4 2 5°C做爲原料氣體,使用純度.9 9 . 9 9%以上之二 矽烷(Si2H6),供予200sccm反應爐。堆積壓 +力係大約1. ITo r r,於此條件下,矽膜之堆積速度 係0 · 77nm/mi η。於如此所得非晶質半導體膜, 照射氟化氪澈元雷射,進行半導體膜之結晶化。照射雷射 能量密度爲2 4 5 m J · c m — 2,較最佳値1 5 m J · c m _ 2爲低之能量密度。如此,形成結晶性半導體膜(多 結晶矽膜)後,令此結晶性半導體膜加工呈島狀,.之後形 成呈半導體裝置之有源層的半導體膜之島5 0 3。(圖5 -a ) 經濟部智慧財產局員工消費合作社印製 接著,被覆屬案加工之半導體膜之島5 0 3地,將第 2氧化矽膜504以ECR — PECVD法加以形成。此 第2氧化矽膜係做爲半導體裝置之閘極絕緣膜加以工作。 第2氧化矽膜堆積條件係除堆積時間縮短至2 4秒之外’ 與第1氧化矽膜之堆積條件相同。惟,於第2氧化矽膜堆 積之前,於ECR-PECVD裝置內,在基板照射氧電 漿,於半導體之表面,形成低溫電漿氧化膜。電漿氧化條 件係如下所述。 氧(〇2 )流量…1 0 0 s c c m . 壓力…1.85mTorr
微波(2 . 45GHz)輸出…2000W 施加磁場…8 7 5 G a u s s 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 166772 A7 ____ B7 五、發明説明( 基板溫度…1 0 0 °c 處理時間…2 4秒 (請先閲讀背面之注意事項再填寫本頁) 根據電漿氧化,約3' 5 nm之氧化膜形成於半導體 表面。終止氧電漿照射之後,維持真空下,連續堆積氧化 膜。因此,第2氧化矽膜係由電漿氧化膜和氣相堆積法之 二者所成。該膜厚係122 . 5nm。 經濟部智慧財產局員工消費合作社印製 形成第2氧化矽膜後,做爲紅外光照射工程,將二氧 化碳雷射光,於此薄膜在空氣中照射。二氧化碳雷射照射 範圍係呈圓形。於圓的中心,雷射能量密度爲最大,伴隨 向外側前進,能量密度係呈正規分布函數地加以衰減。對 於中心之最大能量密度値,能量密度呈1 / e ( e係自然 對數:e = 2 . 7 1 8 2 8 )的圓直徑係4 . 5 m m。中 心之最大能量密度爲6 3 0 m J · c m1之故,直徑 4.5mm之圓周上的能量密度係呈232mJ·cm-2 。二氧化碳雷射之振盪期間(t。N )和非振盪期間( t〇FF)係60//S,因此振盪頻率係8 . 333kHZ 。圓對稱之照射範圍係於每照射移動0 . 1 m m,氧化矽 膜上之同一點係將2 3 2mJ · cm — 2以上的二氧化碳雷 射,接受4 5次。 二氧化碳雷射照射後,於基板施以氫電漿照射,將多 結晶半導體膜中或存在於界面之不對稱結合對,於氫加以 終端。氫電漿條件係如下所述。 氫(H2)流量…lOOOsccm 壓力…500mTo r r -27 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) A7 B7 466772 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) r f 波(13 · 56MHz)輸出…100W 電極間距離…2 5 m m 基板溫度…3 Ο 0 °C 處理時間…9 0秒 如此地終止改質絕緣膜堆積和氧化膜。(圖5 _ b ) 接著經由金屬薄膜,形成閘極電_極5 0 5。於實施例2具 有7 5 0 nm之膜厚α構造之钽,作成閘極電極。此時閘 極電極之薄片阻抗係0 . 8 Ω/□。 接著,將閘極電極做爲光罩,植入施體或受體的不純 物離子5 0 6,令源極汲極範圍5 07和通道形成範圍 5 0 8,對閘極電極,自我整合地加以作成。於實施例2 製作CMO S半導體裝置。於製作NMO S電晶體時,將 Ρ Μ 0 S電晶體部以鋁(A 1 )薄膜加以被覆之下,做爲 不純物元素,於氫中選擇以5 %之濃度加以稀釋的膦( 經濟部智慧財產局員工消費合作社印製 Ρ Η 3 ),於加速電壓80 kV,將含氫之總離子,以7Χ 1 015cm_2之濃度,植入NMOS電晶體之源極汲極範 圍》相反地,製作PMOS電晶體之時,令N Μ 0 S電晶 體部以鋁(A 1 )薄膜加以被覆之下,做爲不純物元素, 於氫中選擇以5%之濃度加以稀釋的二硼烷(Β2Η6), 於加速電壓8 0 kV,將含氫之總離子,以5 X 1 Ο15 cm — 2之濃度,植入PMO S電晶體之源極汲極範圍(圖 5 — c ).。 接著,於PECVD法,堆積層間絕緣膜509。層 間絕緣膜係氧化矽膜所成,該膜厚係約5 0 0 n m。層間 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -28- 66772__._ 五、發明説明(d (請先閲讀背面之注意事項再填寫本頁) 絕緣膜堆積後,兼顧層間絕緣膜之燒結和添加於源極汲極 範圍的不純物元素之活性化,於氮氣氛下3 0 0°C,施以 2小時之熱處理。 於最後,開孔連接孔,以鋁等施以配線5 1 0,完成 薄膜半導體裝置。(圖5—d) 測定如此作成之薄膜半導體裝置之傳達特性。測定半 導體裝置之通道形成範圍之長度及寬度係各爲1 Ο μιη, 測定係於室溫進行。經由Ν Μ 0 S電晶體之V . d s = 8 V 之飽和範圍所求得之移動度係42 . 4±1 . 9 cm2· V - 1 · s - 1,臨限値電壓係3 . 8 7 ± 0 . 1 1 V。又, PMOS電晶體之Vd s = — 8V之飽和範圍所求得之移 動度係2 1 . 8 ± 1 . 2 c m 2 · V — 1 · s - 1,臨限値電 壓係-5.33±0.21V〇N型和P型之兩半導體裝 置皆可以高移動度,安定製造無參差不齊具有低臨限値電 壓良好之薄膜半導體裝置。如此例所示,根據本發明時有 優異之特性,而且可令氧化膜之可靠性高之薄膜半導體裝 置,於汎用玻璃基板使用之低溫工程,容易且簡易地加以
I 經濟部智慧財產局員工消費合作社印製 作成。 (比較例1 ) 比較例1係顯示本發明較以往技術優異之例者。比較 例中,除了不進行光照射工程之外,將所有工程與實施例 • ·. 2同樣地製造半導體裝置。即,以E C R_P E C VD法 堆積第2氧化矽膜後,馬上進行上述氫電漿照射,以下與 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -29- 66772 a7 ._ _B7 ___ 五、發明説明( 實施例2同樣之工程製造CMO S半導體裝置。 (請先閲讀背面之注意事項再填寫本頁) 將比較例1所得之半導體裝置之移動度和臨限電壓示於 如下 仁(N) = 34 . 4±3 . 3cm2.V-1· s—1
Vth(N)=5.06±0.16V 仁(P)=16.2±1.2cm2.V-1.s_1 Vth(P )=-6. 03±0. 22V 由此比較例1,可明白本發明實施例2之優異性。 (實施例3 ) 將實施例2所得NMOS薄膜半導體裝置,呈2 0 0 (行)X320 (列)X3 (色)=192000 (畫素 )所成彩色L C D之畫素用開關元件,將6位元數位資料 驅動裝置(列側驅動裝置)和掃瞄驅動裝置(行側驅動裝 置),於實施例2所得CMOS菟膜半導體裝置,製造內 藏之主動矩陣基板。 經濟部智慧財產局員工消費合作社印製 於圖6顯示6位元數位資料驅動裝置之電路圖。實施 例3之數位資料驅動裝置係由時脈信號線和時脈生成電路 ,偏移暫存器電路,NOR閘極,數位影像信號線,閂鎖 電路1,閂鎖脈.衝線,閂鎖電路2,重置線1 ,A N D閘 極,基準電位線,重置線2,容量分割之6位元D/A轉 換器,CMO S類比開關,共通電位線,及源極線重置, 電晶體所構成,自CMO S類比開關之輸出連接畫素部之 源極線者》D/A轉換器部之容量係滿足(:〇=(:: / 2 = 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐) -30 - 466772 五、發明説明(y (請先閲讀背面之注意事項再填寫本頁) C2/4 = C3/8 = C4/1 6 = C5/3 2 之關係。於數 位影像信號線,自電腦之視訊隨機存取記憶體(VRAM )輸出的數位影像信號則直接輸入.。實施例3之主動矩陣 基板之畫素部中,源極電極及源極配線、汲極配線(畫素 電極)係由鋁所構成,呈反射型L CD。 將所得之主動矩陣基板,製造使用於一對之基板一方 的液晶面板。於挾持於一對基板間的液晶中,使用分散之 高分子分散液晶(PDLC),呈正常黑模式(於液晶不 施加電壓時爲顯示黑色)的反射型液晶面板。將所得之液 晶面板與外部配線連接,製造液晶顯示裝置。 結果,T F T爲高性能,而且基板整面爲特性爲均勻 之故,6位元數位資料驅動裝置、掃瞄驅動裝置亦於廣動 作範圍正常動作,且有關於畫素部因數値口徑爲高之故, 使用黑顏料分散P S L C亦可得顯示品質爲高之液晶顯示 裝置。又半導體膜和氧化膜之界面狀態爲佳,氧化膜本身 之品質亦高之故,電晶體之動作可靠性爲佳,因此顯煽裝 置之動作安定性亦格外優異。 經濟部智慧財產局員工消費合作社印製 令此液晶顯示裝置,安裝於全彩之攜帶型個人1電腦 (筆記型P C )之框體。令6位元數位資料驅動裝置內藏 於主動作矩陣基板,將自電腦的數位影像信號直接輸入液 晶顯示裝置之故,電路構成變得簡單,同時消耗電力亦極 小。使用之液晶顯示裝置之薄膜半導體裝置爲高性能之故 ,此筆記型P C係呈具有非常美麗之顯示畫面的優異電子 機器。更且,液晶顯示裝置爲反應具有高數値口徑的反射 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -31 - 466772 A7 B7_ 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) 型者,因此無需背光,是故實現電池之小型輕量化和長時 間的使用。由此,可長時間使用,.且作成具有漂亮顯示畫 面之超小型輕量電子機器者。 (實施例4 ) 實施例4中,將形成於基板上之氧化矽膜,照射紅外 光,進行品質改質之氧化矽膜用之紅外光照射裝置,使用 圖7至圖1 1加以說明。氧化矽膜改質用之紅外光照射裝 置係至少具有二氧化碳雷射振盪器101等所成紅外光生 成手段,和調整如此生成之紅外光之絕對強度的紅外光強 度調整手段,和均勻強度調整之紅外光之空間強度分布的 紅外光均勻化手段,和令形成氧化矽膜之基板和此均勻化 化紅外光的相對位置關係呈可變之掃瞄機構者(參照圖7 )。 經濟部智慧財產局員工消费合作社印製 於二氧化碳雷射振盪器1〇1生成之紅外光係經由衰 減器等所成光學系10 4,將該絕對強度調整至所期望之 値。於實施例4中,此光學系1 0 4則相當於紅外光強度 調整手段。具體而言,經由改變入射至光學系1 0 4之紅 外雷射光之透過率,而改變該輸出強度。接著,強度調整 之紅外光係由均化器1 0 3等所成紅化光均勻化手段所導 引,紅外光之空間強度分布則於基板上之紅外光照射範圍 內,不產生空間性之變動地加以均勻化。將如此整形之紅 外光導入照射室1 0 5,於設置於照射室內之基板1 1 0 ,施以紅外光照射》 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) • 32- 4 6 6772 a? B7 五、發明説明(y (請先閲讀背面之注意事項再填寫本頁) 將紅外光之照射氣氛,如真空中或氮氣中,氬氣中等 地,呈所定氣氛之故,照射室具備.栗浦1 0 7等所成排氣 手段和氣體系1 0 6等所成氣體導.入手段。導入照射室之 紅外光和形成氧化矽膜之基板110的相對位置關係係將 設置基板之基台,經由基台控制器1 0 8加以移動而呈可 變者。即,實施例4中,掃瞄機構係固定紅外光路徑之後 ,移動基板者。半然如後述之實施例所示,亦可有固定基 板,移動紅外光路择之掃瞄機構,或兩者皆可移動之掃瞄 機構。然而,電腦1 0 9係控制基台控制器1 0 8或雷射 控制器1 0 2的控制系者。 經濟部智慧財產局員工消費合作社印製 然而,將經由氣相成長法所形成之氧化矽膜全範圍, 先行加熱一次,改善該膜品質時,需要輸出極大之紅外雷 射光振盪器。然而,如此大輸出之雷射振盪器尙未存在。 因此,於本發明中,經由紅外光均勻手段,加工短冊狀照 射範圍或細線狀照射範圍,將此照射範圍經由前述掃瞄機 構而呈可動之故,於基板整體可均勻照射光。照射範圍內 之雷射強度係期望爲均勻者。在此接著說明本發明之紅外 光均勻手段。 圖8係顯示使用複眼透鏡2 0 1之紅外光均勻手段之 一例。此紅外光均勻手段係將複眼透鏡2 0 1和聚光透鏡 2 0 2爲基本構成要素,就聚光透鏡2 0 2而言,使用柱 面透鏡。2 0 3係入射紅外雷射光。入射至複眼透鏡 2 01之雷射光束2 0 3係束於危數之圖8 A至E之5個 四角形或與柱面透鏡光軸正交之橫載面,即以複眼透鏡波 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) -33- A7 B7 經濟部智慧財產局員工消費合作社印製 466772 五、發明説明(3ί 面被分割。分割之雷射光束係以上述複眼透鏡之焦點位置 加以聚光後,入射至與上述複眼透鏡共焦點之聚光透鏡 2 0 2,此聚光透鏡經由重合像側.焦點,即再重合基板上 分割之各雷射光束,形成均勻之雷射光束。圖9係顯示分 '割呈Α至Ε之雷射光束基板1 1 0上之雷射光束的強度分 布和將此重合後之雷射光束之強度分布。本方式中,分割 之雷射光束中,如圖9 A和Ε,B和D,對光軸而言,於 對稱者間,具有對稱強烈分布之故,經由此等各重合可確 保其均勻性。 圖1 0係顯示使用傅立葉變換型位相全像影像3 0 1 的紅外光均勻化手段之一例。在此之紅外光均勻化手段係 以透鏡3 0 0和傅立葉變換型位相全像影像3 0 1 (以下 稱全像影像)爲基本構成要素。透鏡3 0 0和全像影像 3 0 1係於形成加工對象物之氧化矽膜的基板1 1 0上, 作出於長度方向具有均勻雷射強度分布之細線狀雷射光束 。雷射振盪器1 0 1所發出之雷射光係通過透鏡3 0 0和 全像影像3 0 1所構成之光束整形光學系3 0 2。此時, 雷射光係經由透鏡3 0 0,照射於基板1 1 〇上,經由設 於透鏡300和基板110間之全像影像301 ,於基板 1 1 0上,具有一直線並排之重合照射之點地,進行空間 調變。全像影像3 0 1係將各照射點於基板1 1 〇上之任 意位置,可以任意之強度加以配置/圖1 1係顯示以圖 1 0之雷射光束整形光學系加以整形,照射於形成氧化矽 膜基板的雷射光束之形狀。如圖1 1所示,於一直線上, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
-34- 46 67 7 2 a? Β7 五、發明説明( (請先W讀背面之注意事項再填寫本頁) 使用照射點並列之全像影像3 0 1,經由將照射點之重合 凹坑呈均等之凹坑地’於基板1 10.上,於長度方向得均 勻之雷射光束。全像影像3 0 1係將雷射光束分割呈 4 0 0個至8 0 0個照射點,均勻化雷射光束之強度分布 (實施例5 ) 於實施例5中,將於形成於基板上之氧化.砂膜,照射 紅外光,可改善跆質之氧化矽膜改質用之紅外光照射裝置 ,使用圖1 2及圖1 3加以說明。此紅外光照射裝置係至 少具有二氧化碳雷射振盪器1〇1等所成紅外光生成手段 ,將如此生成之紅外光整形呈點形狀之光整形手段,將形 成氧化矽膜之基板和整形呈此點形狀之紅外光的相對位置 關係呈可變之掃瞄機構。 經濟部智慧財產局員工消費合作社印製. 二氧化碳雷射振盪器1 0 1所生成之紅外光係經由鏡 40 1 ,導至掃瞄機構之一種的電流掃瞄器之鏡4 0 0 ( 圖1 2 )。雷射光束係被電流掃瞄器之鏡4 0 0反射後, 入射至透鏡402,整形呈點形狀之光束。於實施例5中 ,此透鏡4 0 2則相當於整形點形狀之光整形手段。將如 此整形之紅外光,導入照射室1 0 5,於置於照射室內之 基板1 1 0,施以光熙射。照射室之構成或控制系係與實 施例4相同。實施例4中,經由電流掃瞄器,改變電流掃 瞄器之鏡4 0 0之角度,變化照射於基板1 1 0上之雷射 光束位置。將此照射光掃瞄呈線狀或面狀,於基板1 1 0 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) 71 Α7 Β7 ά 6 6772 五、發明説明( (請先閲讀背面之注意事項再填寫本頁) 之整面,進行紅外雷射光之照射β照射之雷射光係以成膜 於基板上之氧化矽膜加以吸收,加熱氧化矽膜。如此改善 氧化膜之品質。 ’ 另一方面,圖1 3係將多邊鏡6 0 1做爲掃瞄機構使 '用之光照射裝置的另一例者。自雷射振盪器1 0 1所射出 之雷射光係以多邊鏡6 0 1反射,入射至透鏡4 0 2。經 外光係於透鏡4 0 2,整形呈點形狀之光束後,導入照射 室,照射基板1 10。本例之掃瞄機構中,改變多邊鏡 6 0 1之角度,變化基板1 1 0上之雷射照射位置。以下 ,與前例同樣地,將基板整面掃瞄雷射光,進行氧化矽膜 之改質。 然而,此等實施例中,雖顯示做爲紅外光使用二氧化 碳雷射光,但做爲紅外光使用I V_V I族半導體雷射或 自由電子雷射等亦可。 【產業上之利用性】 經濟部智慧財產局員工消費合作社印製 如以上所詳述,根據本發明時,以往低品質之低溫形 成之氧化矽膜,經由追加簡單之紅外光照射工程,可改善 高品質之膜。因此,將本發明適用於T F T等薄膜半導體 裝置或L S I等之半導體裝置時,可將動作可靠性優異之 高性能半導體裝置,於低溫安定地製造。又,將本發明適 用於主動矩陣型液晶顯示裝置之時,可安定且容易地製造 大型且美麗之顯示裝置。更且,適用於其他電子機器時, 亦可安定且容易地製造高性能之電子機器。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ~ ^ 附件2a: 466772 第87121651號專利申請案 中文說明書修正頁 A7民國90年7月呈 B7 五、發明説明(34) 又’本發明之紅外光照射裝置可將大面積基板高速且 安定地加以處理,最論於TFT基板或3 0 Omm徑長之 大型矽基板等之處理。 U 明 說 單 簡 之 面 圖 圖1係顯示氧化矽膜之紅外光吸收特性之圖。圖2係 顯示本發明之有效範圍。圖3係說明紅外光振盪之歷時圖 。圖4係顯示紅外光照射之氧化矽膜溫度變化圖。圖5 A 〜D係說明本發明之半導體裝置之製造方法圖。圖6係說 明本發明之顯示裝置圖。圖7係說明本發明之紅外光照射 裝置圖。圖8係使用本發明之飛眼鏡說明紅外光照射裝置 。圖9係顯示使用飛眼鏡之紅外光強度分布均一化的原理 圖。圖1 0係說明使用本發明傅立葉變換型位相全息影像 的紅外光照射裝置圖。圖1 1係顯示傅立葉變換型位相全 息影像的紅外光強度分布均勻化的原理圖。圖1 2係說明 使用本發明之電流掃瞄的紅外光照射裝置圖。圖1 3係說 明使用本發明之多邊形鏡的紅外光照得裝置圖。圖1 4係 顯示二氧化碳雷射之振盪線圖。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -37-

Claims (1)

  1. 466772 A8 B8 C8 D8
    「、申請專利範圍 附件1 a :第8 7 1 2 1 6 5 1號專利申請案 中文申請專利範圍修正本 (請先閲讀背面之注意事項再填寫本頁) 民國9 0年7月修正 1 .—種氧化矽膜之製造方法,其特徵係包含經由氣 相沈積法形成氧化矽膜之工程,和於此氧化矽膜照射紅外 光之工程。 ‘ 2 .如申請專利範圍第1項所記載之氧化矽膜之製造 方法,其中,前述氣相沈積法爲化學氣相沈積法者。 3 .如申請專利範圍第1項所記載之氧化矽膜之製造 方法,其中,前述氣相沈積法爲物理氣相沈.積法者。 4 .如申請專利範圍第1項至第3項之任一項之氧化 矽膜之製造方法,其中,前述紅外光包含由前述氧化矽膜 所吸收之波長成分。 5 .如申請專利範圍第1項至第3項之任一項之氧化 矽膜之製造方法,其中,前述紅外光包含對應於前述氧化 矽膜之非對稱伸縮振動之波長成分者。 經濟部智慧財產局員工消費合作社印製 6 .如申請專利範圍第1項至第3項之任一項之氧化 矽膜之製造方法,其中,令前述氧化矽膜之膜厚爲t ( cm),令前述紅外線之前述氧化矽膜的吸收係數爲k ( c m — 1 )之時,該膜厚t和該吸.收係數k係滿足之 關係者。 7 .如申請專利範圍第1項至第3項之任一項之氧化 矽膜之製造方法,其中,前述紅外光包含8 . 9 程度 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 466772 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 以上1 0 # m程度以下之波長成分。 8 .如申請專利範圍第1項至第3項之任一項之氧化 矽膜之製造方法,其中,前述紅外光爲雷射光者。 9 .如申請專利範圍第1項至第3項之任一項之氧化 矽膜之製造方法,其中,前述紅外光爲二氧化碳雷射光者 1 0 _ —種半導體裝置之製造方法,其特徵係包含氧 化半導體表面形成氧化矽膜之工程,和 '於此氧化矽膜照射 紅外光之工程者。 1 1 .如申請專利範圍第1 〇項所記載之半導體裝置 之製造方法,其中,將前述氧化矽膜形成工程,以8 〇 0 t程度以下之溫度加以進行者。 1 2 .如申請專利範圍第1 0項所記載之半導體裝置 之製造方法,其中,將前述氧化矽膜形成工程,藉由於前 述半導體表面供給活性氧而加以進行者。 1 3 .如申請專利範圍第1 0項之所記載之半導體裝 置之製造方法,其中,將前述氧化矽膜形成工程,藉由將 前述半導體表面電漿氧化加以進行者。 1 4 .如申請專利範圍第1 0項至第1 3項之任一項 之半導體裝置之製造方法,其中,前述紅外光包含藉由前 述氧化矽膜所吸收之波長成分。 1 5 .如申請專利範圍第1 Q項至第1 3項之任一項 之半導體裝置之製造方法,其中,前述紅外光包含對應於 前述氧化矽膜之非對稱伸縮振動之波長成分者。 1 6 .如申請專利範圍第1 0項至第1 3項之任一項 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -2 - ---r---------裝--------訂---------線 —'V (請先閱請背面之注意事項再填寫本頁) 466772 A8 B8 C8 D8 「、申請專利範圍 之半導體裝置之製造方法,其中,令前述氧化矽膜之膜厚 爲t ( c m ),令前述紅外線之前述氧化矽膜的吸收係數 爲k ( c m — 1 )之時’該膜厚t和該吸收係數k係滿足 众々> 0.01之關係者。 1 7 .如申請專利範圍第1 0項至第1 3項之任一項 之半導體裝置之製造方法,其中,前述紅外光包含8 . 9 程度以上1 〇 /zm程度以下之波長成分。 1 8 .如申請專利範圍第1 〇項至第1 3項之任一項 之半導體裝置之製造方法,其中,前述紅外光爲雷射光者 〇 1 9 .如申請專利範圍第1 0項至第1 3項之任一項 之半導體裝置之製造方法,其中,前述紅外光爲二氧化碳 雷射光者 2 0 .如申請專利範圍第1 〇項至第1 3項之任一項 之半導體裝置之製造方法,其中,將前述紅外光照射工程 ,於非活性氣體氣氛加以進行者。 21.—種半導體裝置之製造方法,其特徵係包含於 絕緣性物質上,形成半導體膜之工程,和於此半導體膜上 形成氧化矽膜之工程,和於此氧化矽膜照射紅外光之工程 者。 2 2 .如申請專利範圍第2 1項所記載之半導體裝置 之製造方法,其中,令前述氧化矽膜形成工程,經由化學 氣相沈積法加以進行者。 2 3 .如申請專利範圍第2 1項所記載之半導體裝置 (請先閱讀背面之注意事項再填寫本頁) ---I ! I 訂·! — I-線 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -3 - 466772 A8 B8 g___ 六、申請專利範圍 之製造方法,其中,令前述氧化矽膜形成工程,經由物理 氣相沈積法加以進行者。 2 4 .如申請專利範圍第2 1項所記載之半導體裝置 之製造方法,其中,將前述氧化矽膜形成工程,以6 0 0 °C程度以下之溫度加以進行者。 2 5 .如申請專利範圍第2 1項所記載之半導體裝置 之製造方法,其中,將前述氧化矽膜形‘成工程,藉由於前 述半導體表面供給活性氧而加以進行者。 2 6 .如申請專利範圍第2 1項所記載之半導體裝置 之製造方法,其中,.將前述氧化矽膜形成工程,將前述半 導體表面藉由電漿氧化加以進行者。 2 7 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,前述紅外光包含藉由前 述氧化矽膜所吸收之波長成分者。 2 8 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,前述紅外光包含對應於 前述氧化矽膜之非對稱伸縮振動之波長成分者。 2 9 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,令前述氧化矽膜之膜厚 爲t ( c m ),令前述紅外線之前述氧化矽膜的吸收係數 爲k ( c m — 1 )之時,該膜厚t和該吸收係數k係滿足 Α:·ί>0.1之關係者。 3 0 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,前述紅外光包含8 . 9 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -4 - (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 ------I - ^ --------1 ^-------— — IL· —------Γ I 466772 A8 B8 C8 D8 六、申請專利範圍 程度以上l〇#m程度以下之波長成分者。 3 1 .如申請專利範圍第2 1項至第2 6項之任一項 (請先閱讀背面之注意事項再填寫本頁) 之半導體裝置之製造方法,其中,前述紅外光爲雷射光者 〇 3 2 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,前述紅外光爲二氧化碳 雷射光者。 3 3 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,將前述紅外光照射工程 於非活性氣體氣氛加以進行者。 3 4 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,前述紅外光照射工程後 ,更包含非對結合對終端化工程者。 3 5 .如申請專利範圍第2 1項至第2 6項之任一項 之半導體裝置之製造方法,其中,前述絕緣性物質係形成 於玻璃基板上之氧化矽膜者。 經濟部智慧財產局員工消費合作社印製 3 6 . —種半導體裝置,其特徵係以包含於形成於玻 璃基板上之氧化矽膜之絕緣性物質上,形成半導體膜之工 程,和於此半導體膜上形成氧化矽膜之工程,和於此氧化 矽膜照射紅外線之工程; 前述氧化矽膜形成工程係經由於6 0 0 °C程度以下之 溫度,對於前述半導體表面供給活性氧,將前述半導體表 面電漿氧化之化學氣栢堆積法或物理氣相堆積法所進行; 前述紅外線爲雷射光或二氧化碳氣體雷射光,包含經 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -5 - 經濟部智慧財產局員工消費合作杜印製 66772 tl C8 D8 六、申請專利範圍 由前述氧化矽膜所吸收之波長成分,或前述氧化矽膜之非 對稱伸縮振動之波長成分,或8 · 9 # m程度以上,1 0 μ m程度以下之波長成分; 令前述氧化矽膜之膜厚爲t (cm),令前述紅外線 之前述氧化矽膜的吸收係數爲k ( cm'1)之時,該膜厚 t和該吸收係數k係滿足k · t > 0 . 1之關係, 紅外光照射工程於非活性氣體氣份‘加以進行,之後, 更包含非對結合對終端化工程之半導體製造方法所製造者 〇 3 7 . —種顯示裝置,其特徵係具備如申請專利範圍 第3 6項之半導體裝置者。 3 8 . —種紅外光照射裝置,針對在於形成於基板上 之氧化矽膜,照射紅外光,進行該氧化矽膜之改性的紅外 光照射裝置中,其特徵係具備紅外光生成手Μ,和將此紅 外線之空間強度分布均勻化之紅外光均勻化手段,和將前 述基板和前述均勻化之紅外光之相對位置關係呈可變之掃 描機構者。 3 9 . —種紅外光照射裝置,針對在於形成於基板上 之氧化矽膜,照射紅外光,進行該氧化矽膜之改性的紅外 光照射裝置中,其特徵係具備紅外光生成手段,和將此紅 外線整形爲點形狀之光整形手段,和將前述基板和整形爲 前述點形狀之紅外光之相對位置關係呈可變之掃描機構者 0 4 0 .如申請專利範圍第3 8項或第3 9項所記載之 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 -6 - .1--^--.------1'ί-裝·-------訂---------線 (請先閱讀背面之注意事項再填寫本頁) A8 B8 C8 D8 466772 六、申請專利範圍 紅外光照射裝置,其中’前述紅外光之波長係8 . 9 // m 程度以上1 0 # IB程度以下者。 4 1 .如申請專利範圍第3 8項或第3 9項所記載之 紅外光照射裝置,其中,前述紅外光爲雷射光者。 4 2 .如申請專利範圔第3 8項或第3 9項所記載之 紅外光照射裝置,其中,前述紅外光爲二氧化碳雷射光者 4 3 . —種氧化矽膜之製造方法,其特徵係進行包含 在於基板上形成氧化矽膜之工程’和於此氧化矽膜照射光 之工程,於此光照射工程’令氧化矽膜之溫度上昇呈 8 0 ◦ °C以上時之任意氧化矽膜溫度爲τ。X ( °C ) ’令到 達該溫度(T。x )之時間總合爲τ ( s )之時,丁。1和1 (s)則在於滿足 τ > exp(-0.04605 · Tox + 34.539) 之關係存在T。χ之條件下,進行前述光照射工程者。 4 4 . 一種氧化矽膜之製造方法’其特徵係進行包含 在於半導體物質上形成氧化矽膜之工程’和於此氧化矽膜 照射光之工程,於此光照射工程,令氧化矽膜之溫度上昇 呈1 0 0 0 °C以上時之任意氧化矽膜溫度爲Τ。x ( °C ), 令到達該溫度(T。X )之時間總合爲τ ( s )之時,T。x 和τ則在於滿足 r > 2*(1 + κ) % ·Gxp(s/(k*(Tox + 273.15)))/E 之關係存在T。x之條件下,進行前述光照射工程者, 惟v係氧化膜之泊松比(Poisson's ratio ) ,E係楊式率( young ratio ),7?係該粘度,係粘度之破壞指數因子, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ,---..--.----------裂--------.訂---------線 ίν (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 466772 as C8 D8 六、申請專利範圍 ε係粘度之活化能,k係波兹曼常數。 (請先閱讀背面之注意事項再填寫本頁) 訂---------線 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 -8-
TW087121651A 1997-12-26 1998-12-24 Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device TW466772B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP36156797 1997-12-26

Publications (1)

Publication Number Publication Date
TW466772B true TW466772B (en) 2001-12-01

Family

ID=18474097

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087121651A TW466772B (en) 1997-12-26 1998-12-24 Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device

Country Status (8)

Country Link
US (2) US6407012B1 (zh)
EP (1) EP0966029A4 (zh)
JP (1) JP4663037B2 (zh)
KR (2) KR100510380B1 (zh)
CN (1) CN1161827C (zh)
CA (1) CA2281788C (zh)
TW (1) TW466772B (zh)
WO (1) WO1999034431A1 (zh)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555449B1 (en) * 1996-05-28 2003-04-29 Trustees Of Columbia University In The City Of New York Methods for producing uniform large-grained and grain boundary location manipulated polycrystalline thin film semiconductors using sequential lateral solidfication
TW466772B (en) * 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6391400B1 (en) 1998-04-08 2002-05-21 Thomas A. Russell Thermal control films suitable for use in glazing
US6676810B2 (en) * 2000-01-12 2004-01-13 D2 In-Line Solutions, Llc Method of coating insulative substrates
US6830993B1 (en) * 2000-03-21 2004-12-14 The Trustees Of Columbia University In The City Of New York Surface planarization of thin silicon films during and after processing by the sequential lateral solidification method
EP1259985A2 (en) 2000-10-10 2002-11-27 The Trustees Of Columbia University In The City Of New York Method and apparatus for processing thin metal layers
WO2002042847A1 (en) * 2000-11-27 2002-05-30 The Trustees Of Columbia University In The City Of New York Process and mask projection system for laser crystallization processing of semiconductor film regions on a substrate
US7160763B2 (en) * 2001-08-27 2007-01-09 The Trustees Of Columbia University In The City Of New York Polycrystalline TFT uniformity through microstructure mis-alignment
US6700096B2 (en) * 2001-10-30 2004-03-02 Semiconductor Energy Laboratory Co., Ltd. Laser apparatus, laser irradiation method, manufacturing method for semiconductor device, semiconductor device, production system for semiconductor device using the laser apparatus, and electronic equipment
US7105048B2 (en) * 2001-11-30 2006-09-12 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
WO2003085156A1 (en) * 2002-03-29 2003-10-16 D2 In-Line Solutions, Llc Gravity-fed in-line continuous processing system and method
WO2003084688A2 (en) * 2002-04-01 2003-10-16 The Trustees Of Columbia University In The City Of New York Method and system for providing a thin film
JP5030382B2 (ja) * 2002-08-19 2012-09-19 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 基板上のフィルム領域を処理して、こうした領域内及びその端部領域をほぼ均一にするレーザ結晶化プロセス及びシステム
KR20050047103A (ko) 2002-08-19 2005-05-19 더 트러스티스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 다양한 조사 패턴을 포함하는 원 샷 반도체 가공 시스템 및방법
KR101131040B1 (ko) * 2002-08-19 2012-03-30 더 트러스티스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 에지 영역을 최소화하도록 기판 상의 박막 영역을 레이저결정화 처리하는 방법 및 시스템, 그리고 그러한 박막 영역의 구조
KR101118974B1 (ko) * 2002-08-19 2012-03-15 더 트러스티스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 균일성을 제공하도록 기판 상의 박막 영역을 레이저 결정화처리하는 방법 및 시스템, 그리고 그러한 박막 영역의 구조
US7605023B2 (en) * 2002-08-29 2009-10-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for a semiconductor device and heat treatment method therefor
JP3651802B2 (ja) * 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
JP4627961B2 (ja) * 2002-09-20 2011-02-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3578753B2 (ja) * 2002-10-24 2004-10-20 沖電気工業株式会社 シリコン酸化膜の評価方法および半導体装置の製造方法
KR101191837B1 (ko) * 2003-02-19 2012-10-18 더 트러스티스 오브 콜롬비아 유니버시티 인 더 시티 오브 뉴욕 순차적 측면 고상화 기술을 이용하여 결정화되는 복수의 반도체 박막을 가공하는 방법 및 장치
DE10319206B4 (de) * 2003-04-25 2013-08-14 Lim Laserinstitut Mittelsachsen Gmbh Verwendung von KrF-Excimerlasern zur Laserpulsabscheidung und zur Spannungsreduzierung von dünnen Schichten
US7247503B2 (en) * 2003-05-07 2007-07-24 Macronix International Co., Ltd. Method of laser annealing to form an epitaxial growth layer
US7318866B2 (en) * 2003-09-16 2008-01-15 The Trustees Of Columbia University In The City Of New York Systems and methods for inducing crystallization of thin films using multiple optical paths
WO2005029551A2 (en) 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Processes and systems for laser crystallization processing of film regions on a substrate utilizing a line-type beam, and structures of such film regions
US7364952B2 (en) * 2003-09-16 2008-04-29 The Trustees Of Columbia University In The City Of New York Systems and methods for processing thin films
WO2005029550A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for producing crystalline thin films with a uniform crystalline orientation
US7164152B2 (en) * 2003-09-16 2007-01-16 The Trustees Of Columbia University In The City Of New York Laser-irradiated thin films having variable thickness
WO2005029547A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Enhancing the width of polycrystalline grains with mask
TWI351713B (en) 2003-09-16 2011-11-01 Univ Columbia Method and system for providing a single-scan, con
WO2005029548A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York System and process for providing multiple beam sequential lateral solidification
WO2005029546A2 (en) 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for providing a continuous motion sequential lateral solidification for reducing or eliminating artifacts, and a mask for facilitating such artifact reduction/elimination
US7311778B2 (en) * 2003-09-19 2007-12-25 The Trustees Of Columbia University In The City Of New York Single scan irradiation for crystallization of thin films
KR20050106250A (ko) * 2004-05-04 2005-11-09 네오폴리((주)) 플라즈마를 이용한 다결정 박막 트랜지스터의 제조 방법
US7132372B2 (en) * 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7645337B2 (en) 2004-11-18 2010-01-12 The Trustees Of Columbia University In The City Of New York Systems and methods for creating crystallographic-orientation controlled poly-silicon films
US8221544B2 (en) 2005-04-06 2012-07-17 The Trustees Of Columbia University In The City Of New York Line scan sequential lateral solidification of thin films
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
CN101617069B (zh) 2005-12-05 2012-05-23 纽约市哥伦比亚大学理事会 处理膜的系统和方法以及薄膜
JP4258536B2 (ja) * 2006-08-11 2009-04-30 独立行政法人産業技術総合研究所 結晶化金属酸化物薄膜の製造方法
US20080044590A1 (en) * 2006-08-21 2008-02-21 National Institute Of Advanced Industrial Science And Technology Manufacturing Method of Phosphor Film
US20080072956A1 (en) * 2006-09-07 2008-03-27 Guardian Industries Corp. Solar cell with antireflective coating comprising metal fluoride and/or silica and method of making same
US20080070423A1 (en) * 2006-09-15 2008-03-20 Crowder Mark A Buried seed one-shot interlevel crystallization
TW200942935A (en) 2007-09-21 2009-10-16 Univ Columbia Collections of laterally crystallized semiconductor islands for use in thin film transistors and systems and methods for making same
WO2009042784A1 (en) 2007-09-25 2009-04-02 The Trustees Of Columbia University In The City Of New York Methods of producing high uniformity in thin film transistor devices fabricated on laterally crystallized thin films
WO2009067688A1 (en) 2007-11-21 2009-05-28 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
US8012861B2 (en) 2007-11-21 2011-09-06 The Trustees Of Columbia University In The City Of New York Systems and methods for preparing epitaxially textured polycrystalline films
CN101919058B (zh) 2007-11-21 2014-01-01 纽约市哥伦比亚大学理事会 用于制备外延纹理厚膜的系统和方法
WO2009111340A2 (en) 2008-02-29 2009-09-11 The Trustees Of Columbia University In The City Of New York Flash lamp annealing crystallization for large area thin films
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8895942B2 (en) 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
KR101690804B1 (ko) * 2008-09-16 2016-12-28 도쿄엘렉트론가부시키가이샤 유전체 재료 처리 시스템 및 작동 방법
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
JP2010098003A (ja) * 2008-10-14 2010-04-30 Osaka Univ レーザー結晶化法
JP2012508985A (ja) 2008-11-14 2012-04-12 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク 薄膜の結晶化のためのシステムおよび方法
KR100945748B1 (ko) * 2009-04-06 2010-03-05 (주)티에스티아이테크 폴리실리콘의 제조장치
US8440581B2 (en) 2009-11-24 2013-05-14 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse sequential lateral solidification
US9087696B2 (en) 2009-11-03 2015-07-21 The Trustees Of Columbia University In The City Of New York Systems and methods for non-periodic pulse partial melt film processing
US9646831B2 (en) 2009-11-03 2017-05-09 The Trustees Of Columbia University In The City Of New York Advanced excimer laser annealing for thin films
US8334161B2 (en) * 2010-07-02 2012-12-18 Sunpower Corporation Method of fabricating a solar cell with a tunnel dielectric layer
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8026519B1 (en) 2010-10-22 2011-09-27 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8399808B2 (en) 2010-10-22 2013-03-19 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8309474B1 (en) 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
KR101930371B1 (ko) 2011-11-24 2018-12-18 엘지디스플레이 주식회사 박막 트랜지스터, 박막 트랜지스터 기판 및 그 제조방법
US8546805B2 (en) 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
JP5108158B2 (ja) * 2012-02-13 2012-12-26 日立オートモティブシステムズ株式会社 流量センサ
US8501638B1 (en) 2012-04-27 2013-08-06 Ultratech, Inc. Laser annealing scanning methods with reduced annealing non-uniformities
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
SG10201503482QA (en) 2012-06-11 2015-06-29 Ultratech Inc Laser annealing systems and methods with ultra-short dwell times
US9490128B2 (en) 2012-08-27 2016-11-08 Ultratech, Inc. Non-melt thin-wafer laser thermal annealing methods
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP2014099541A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 低誘電率誘電膜の形成方法、形成装置及びポロジェンの脱離方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9343307B2 (en) 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6193305B2 (ja) 2014-07-29 2017-09-06 ウルトラテック インク 高性能線形成光学システム及び方法
US9431244B2 (en) 2014-09-24 2016-08-30 Qualcomm Mems Technologies, Inc. Laser annealing technique for metal oxide TFT
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
JP6690270B2 (ja) * 2015-10-15 2020-04-28 株式会社ジェイテクト 金属部材の加熱方法、加熱した金属部材の接合方法、及び金属部材の加熱装置
DE102016119215A1 (de) * 2015-10-15 2017-04-20 Jtekt Corporation Verfahren zum erwärmen eines metallelements, verfahren zum bonden von erwärmten metallelementen und vorrichtung zum erwärmen eines metallelements
DE102017127010B4 (de) * 2017-11-16 2021-12-09 Infineon Technologies Ag Verbundwafer und Verfahren zur Herstellung eines Halbleiterbauelements
KR20240050470A (ko) * 2021-09-06 2024-04-18 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56114333A (en) * 1980-02-13 1981-09-08 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPS58215054A (ja) * 1982-06-09 1983-12-14 Hitachi Ltd 半導体装置の製造方法
JPS6292329A (ja) 1985-10-17 1987-04-27 Sharp Corp 絶縁膜形成方法
JPS63110735A (ja) 1986-10-29 1988-05-16 Hitachi Ltd アニ−ル方法および装置
JPH02143391A (ja) * 1988-11-25 1990-06-01 Ricoh Co Ltd 並列光情報処理装置
JPH0730114A (ja) 1993-07-09 1995-01-31 Sony Corp Mos型トランジスタの製造方法
KR100299292B1 (ko) * 1993-11-02 2001-12-01 이데이 노부유끼 다결정실리콘박막형성방법및그표면처리장치
JP3169309B2 (ja) 1994-09-07 2001-05-21 シャープ株式会社 薄膜半導体装置の製造方法
JPH08153784A (ja) * 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
JP4026182B2 (ja) * 1995-06-26 2007-12-26 セイコーエプソン株式会社 半導体装置の製造方法、および電子機器の製造方法
JPH09129573A (ja) 1995-07-25 1997-05-16 Semiconductor Energy Lab Co Ltd レーザーアニール方法およびレーザーアニール装置
JPH09116160A (ja) 1995-10-18 1997-05-02 Hitachi Ltd 薄膜半導体素子のレーザアニール法及びその装置
TW466772B (en) * 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device

Also Published As

Publication number Publication date
KR100510380B1 (ko) 2005-08-30
CA2281788A1 (en) 1999-07-08
EP0966029A1 (en) 1999-12-22
JP4663037B2 (ja) 2011-03-30
KR20000075689A (ko) 2000-12-26
CA2281788C (en) 2009-09-08
CN1161827C (zh) 2004-08-11
WO1999034431A1 (fr) 1999-07-08
EP0966029A4 (en) 2001-05-09
CN1252893A (zh) 2000-05-10
KR20040097252A (ko) 2004-11-17
US6632749B2 (en) 2003-10-14
US20020119607A1 (en) 2002-08-29
KR100489749B1 (ko) 2005-05-16
US6407012B1 (en) 2002-06-18

Similar Documents

Publication Publication Date Title
TW466772B (en) Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
TW579602B (en) Semiconductor device and method for manufacturing same
TW577174B (en) Method and apparatus for forming a thin semiconductor film, method and apparatus for producing a semiconductor device, and electro-optical apparatus
JP4026182B2 (ja) 半導体装置の製造方法、および電子機器の製造方法
US6391690B2 (en) Thin film semiconductor device and method for producing the same
US6770546B2 (en) Method of manufacturing semiconductor device
TWI282126B (en) Method for manufacturing semiconductor device
JP3911971B2 (ja) シリコン薄膜、薄膜トランジスタおよびシリコン薄膜の製造方法
US20030022471A1 (en) Semiconductor thin film, method and apparatus for producing the same, and semiconductor device and method of producing the same
JP2003051446A (ja) 半導体装置の作製方法
JP2002231628A (ja) 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
WO2002047137A1 (fr) Procede de formation de couche mince a semi-conducteur, procedes de production pour dispositif a semi-conducteur et dispositif electrooptique, dispositifs utilises dans ces procedes, dispositif a semi-conducteur et dispositif electrooptique
TW200525844A (en) Laser irradiation method and method for manufacturing crystalline semiconductor film
CN102069297A (zh) 激光照射装置、激光照射方法及晶质半导体膜的制作方法
US7026227B2 (en) Method of irradiating a laser beam, and method of fabricating semiconductor devices
TW316999B (zh)
WO2005081297A1 (ja) 薄膜の熱処理方法、熱処理装置、薄膜半導体素子の製造方法および電気光学装置
TW200814163A (en) Semiconductor thin film, thin film transistor, method of manufacturing the semiconductor thin film, method of manufacturing the thin film transistor, and manufacturing device of semiconductor thin film
WO1997028559A1 (fr) Dispositif permettant d'obtenir un corps d'une energie elevee, procede de formation d'un film cristallin, et procede de fabrication d'un composant electronique possedant un film fin
JP2003100638A (ja) 半導体薄膜及び薄膜トランジスタの製造方法、電気光学装置及び電子機器
JP2000114526A (ja) 半導体装置及びその作製方法
JP4837871B2 (ja) 半導体装置の作製方法
CN100388423C (zh) 多晶硅薄膜的制造方法以及由此获得的薄膜晶体管
JP2000208416A (ja) 半導体薄膜結晶化方法及びレ―ザ照射装置
JP2003100639A (ja) 半導体薄膜及び薄膜トランジスタの製造方法、電気光学装置及び電子機器

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees