TW399314B - Improved dual damascene structure - Google Patents

Improved dual damascene structure Download PDF

Info

Publication number
TW399314B
TW399314B TW087108059A TW87108059A TW399314B TW 399314 B TW399314 B TW 399314B TW 087108059 A TW087108059 A TW 087108059A TW 87108059 A TW87108059 A TW 87108059A TW 399314 B TW399314 B TW 399314B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
pillar
forming
item
Prior art date
Application number
TW087108059A
Other languages
English (en)
Inventor
Dirk Tobben
Martin Gutsche
Original Assignee
Siemens Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens Ag filed Critical Siemens Ag
Application granted granted Critical
Publication of TW399314B publication Critical patent/TW399314B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

__p A 7 B7 五、發芯 ;q£L^lL· W 經濟部中央標準局員工消費合作社印製 刻(RIE),各向異性地蝕刻基板。移除未受光阻層保護之 拋棄式層的部分.留下對應於與導電區22接觸之通路位 冒的柱。雖然是用正光阻說明,但是也可以使用負光阻。 通常,導電層的柱之距離會根據已知導體之載流需求 而改變(如下之說明.導電材料會取代各螺柱1 2 ),使得 可以解決可靠度間題,如電子遷移。但是,在期望低電 流時.半導體的尺寸及間隔將限制,成為某特定半導體 元件及/或半導體製程之待定最小寬度。在各柱12之間 的寬度範圍通常約從0 . 1 5 w m到i . Ο /ζ πι ,約從0 . I 5 w m到 0.35« m較佳,約從0.15« m到0.35w ra則更佳。 至少一柱1 2樣態化之後,在半導體基板2 0之表面上和 柱12之上表而上形成IMD層5(參見第3圖)。用在此處所說 明之方法中的I M D材料可以包含本項技術人士所知的任 何滴當的介電材料。在一實施例中,IMD材料包含Α418 SOG. HSG-R7 S0G,有機摻雜的CVD氣化物,反相的CVD 氣化物,含矽材料,而未摻雜的矽酸玻璃,有機金屬, 如B C Β或類似之材料。 該IMD層5通常偽形成在半導體基板20的表面上和柱 12的整値上表而上,當作其後的平坦化層。在形成IMD 層之後,其後的平坦化層可直接透過成形製程完成,如 旋塗膜之方法,或藉由應用平坦化技術,如化學機械研 磨(CMP)予以完成。IMD之厚度要夠.才能足以容納柱及 在其下之導線。例如,IMD之厚度要比螺栓之高度大h , 其中h約等於導線之髙度。當然h取決於設計參數,通 8 - 本紙張尺度適用中國國家標準(CNS ) Λ4現格(210X297公浼) 扣衣------1T---;---^ . - (請先閱讀背面之注意事項再填寫本頁) Λ7 B7 五、發明説明(〖) mu 術—箫1 本發明傜關於一種半導體之製造,尤其是形成一種雙 紋路結構。 相-關』LJ5—背一 一般而言,半導體元件包含許多形成積體電路之電路 ,稽體雷路可以用在電腦和電子設備,且可能内含數以 百萬計可以製造在單矽晶半導體元件,即晶Η上之電晶 體和其他電路構件,,為了要使元件有功能,複雜的訊號 路徑網路通常會定路徑連接到分佈在元件表面上之電路 構件Λ當積體電路的複雜度增加時,這些橫越過元件之 訊號的有效路徑會變得更困難。因此,需要稱為雙紋路 結構之多層次或多層組態,因其能夠增加元件的密度, 所以,圮許緊密組裝的半導體元件之堆叠交互連接水準 (stacked interconnected levels) 〇 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 當製诰具有雙紋路結構之積體電路時,例如,半導體 元件之絶緣或介電質材料,如氣化矽,通常會製作數千 値開口,以産生導線開口和通路開口。然後,再用導電 金屬層,如鋁,填谋該導線和通路開口.積體電路的主 動和/或被動構件交互連接。該雙紋路結構也可用於形 成多厣基板之絶緣層(如聚醯亞胺)内的多層次金鼷(如 銅)導線,其中該基板可安裝至半導體元件上。 製造雙紋路結構之方法,已廣為人知.例如,參見美 國專利第 5,422,309; 5,529,953; 5,602,423;和 5,614,765 -3 - 本紙悵尺度適用中國國家標準(CNS ) Λ4規格(210X297公兑) Λ7 B7 五、發明説明(> ) 號。一般而言,製造一標準雙纹路結構可以先用抗反射 塗層(ARC)及光阻劑層塗覆之絶線層。之後,透過具有 通路開口影像圖樣之第一光罩,將光阻曝光,再各向異 性地蝕刻該画案以穿透絶緣層,露出其下之導電層。在 蝕刻該通路開口之後,移除其餘的ARC和光阻。然後再 沈穑新的ARC和光阻層。透過具有導線開口影像圖樣之 第二光罩,將該光阻曝光。該第二影像圖樣通常對齊該 第一光罩圖樣以包圍具有導線開口之通路開口。要形成 導線開口之光阻部分被除去,曝露出該通路開口和絶緣 層,、之後,蝕刻該曝露出的絶緣層至一所要的深度,其 等於導線高度。當蝕刻完成時,將通路開口和導線開口 都用一導電金屬層填滿。 ARC和光阻層的第二次沈積使通路充填ARC,導致在後 繼之形成導線開口之蝕刻期間,在該通路孔洞中形成聚 合物。由於基本的規則(groundru丨es>變得愈來愈小, 經濟部中央標準局員工消費合作社印製 (請义閱讀背面之注意事項再填寫本頁) 此種聚合物之形成在通路和導線開口之介面上導致Si02 柵橱(fence)。棚稱之出現使金屬流入通路受到干擾而 在内部造成空隙,在某些情形下,此種空隙會造成通路 電阳增加,且在某些狀況下通路失敗。 由上述之討論,有需要提供未在通路-導線上形成柵 欄之雙紋路結構。 明-總1 一種嶄新的雙紋路結構製造方法.包含之步驟有:在 半導體基板上形成一抛棄式材料層;形成一内金鼷介電 -4 - 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公筇) ΑΊ Β7 五、發明説明(4 ) 層在該半導體基板上;蝕刻在該中間金鼷介電層中的導 線開口,且移除該抛棄式材料層。 於一很有用的實施例中,其方法包含之步驟有: a) 至少在部分的半導體基板上形成一抛棄式材料層; b) 使該抛棄式材料層樣態化,成為至少一傾柱(stud); c) 至少在部分的半導體基板上形成一中間金靨介電層; d) 在該内金靨介電層中至少蝕刻一導線開口; e) 自該内金驅介電層移除至少一柱;及 f )在該内金屬介電層中用導電材料至少取代一樣。 圖式 雙紋路結構之製造方法的優選實施例參考圖式說明於 後,其中: 第1圖為在半導體基板上所形成之抛棄式材料層的横 截而圖; 第2圖為在半導體基板上,將該抛棄式材料層製成螺栓 圖案之樺截面圖; 第3圈為形成在該半導體基板表面上,且覆蓋該柱之 上表而之該内金驅介電層之橫截面圖; 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 第4 _為蝕刻後之導線開口的横截面圖; 第5圖為移除柱後之導線開口的横截面画;及 第6圖為填滿導電金屬層之導線開口和通路開口的横截 而圖^ 優i實例JgJl· 本發明俗關於積體電路(I C s )之製造。此種I C s包含記 -5 - 本纸认尺戍迻:i】中内《家撑準(CNS ) Μ規格(2丨) 經濟部中央標準局貝工消費合作社印装 Λ7 B7 五、發明説明(4 ) 億體電路類,如隨機存取記億體(RAMs).動態RAMs(DRAMs) ,同步DRAMs(SDRAMs),靜態、RAMs(SRAMs)及唯讀記億 體(ROMs)。還有其他的ICs,包含邏輯元件,如可程式 邏輯陣列(PLAs),待用ICs(ASICs)或任何電路元件。本 發明提供一種基本上在導線和通路開口之介面,沒有不 良的定義邊緣。通常,在半導體基板,如矽晶圓上,會 同時製诰許多平行的ICs。所以在製程結束後,為了分 隔1C ,要將晶圖切割成許多個別的晶Η。然後再將晶片 組裝成最後客戶所用的産品,如計算機系統,行動電話 .個人數位式援肋系統(PDAs)及其他産品。 在一奮_例中,本發明包含與介電材料有關之拋棄式 材料的使用,其中有形成該雙紋路結構。内部形成有該 雙紋路結構之層在此處稱為中間金屬介電層(IMD)。不 良的定義邊緣可以藉由選擇比IMD有較高濕或乾蝕刻速率 之拋棄式材料而避免。 參考第1圖,其顯示部分的半導體基板20。例如,該 基板為矽晶圓。也可以使用其他的基板,如砷化鎵,絶 綠體上之矽(SOI),鍺或其他的半導體材料。基板20包含 形成在其上之ICs(未顯示 該ICs可以處在任何的製程 階段。在基板上還包含位在其下之導電區22,如金屬化 層,、可選擇地,導電區為高摻雜之多晶矽層或主動元件 的仟何部分,如電晶體的源極或汲掻區。在一實施例中 ,導電區表示DRAM晶片之位元線,例如,該導電區可以 ϋ由介電材料予以絶緣。通常.要將上表面30平坦化, -6 - 本紙張尺度適用中國國家標準(CNS ) A4J1見格(210> 297公炱) ---------Λ------訂 (請洗閱讀背面之注意事項再填寫本頁) A7 B7 經濟部中央標準局貝工消费合作社印裝 五、發明説明 ( f ) 1 1 才 能 提 供 一 平 坦 的 上 表 面 〇 I C 'S可以包含額3 +的元件, 1 1 I 電 氣 回 路 和 其 他 交 互 連 接 的 同 级 元 件 〇 1 1 如 圖 所 示 9 抛 棄 式 材 料 層 1 5偽 形 成 在 半 導 體 基 板 2C 之 1 先 1 表 面 3 0上 〇 可 用 作 抛 棄 式 材 料 層 1 5之 適 當 材 料 包 含 已 知 閱 讀 1 背 1 技 術 技 m 中 的 任 何 傳 統 材 料 〇 在 實 施 例 中 > 形 成 層 15 1¾ 之 1 所 採 用 之 材 料 包 含 可 流 動 氣 化 物 » C V D氣化物, BSG SiN 意 1 事 1 5 不 含 矽 之 材 料 , 如 對 苯 二 甲 撐 9 聚 醯 亞 胺 (如烕光聚 項 1 填 1 m 亞 胺 )和P B0 > 及 類 似 之 材 料 0 用 在 此 處 說 明 之 方 法 中 寫 ·. 本 | 的 拋 棄 式 材 料 > 其 濕 和 / 或 乾 蝕 刻 速 率 基 本 上 高 於 形 成 頁 1 | 在 半 導 體 基 板 2 0 上 之 I Μ D層, 此說明於後。 - 1 | 為 了 要 達 到 適 當 的 均 勻 厚 度 » 通 常 將 抛 棄 式 材 料 層 1 1 1 5大 致 上 先 平 坦 化 » 如 果 需 要 可 以 採 用 個 別 的 平 坦 化 1 訂 1 1 步 驟 , 如 C Μ P〇 通常, 形成在半導體基板2 0上之抛棄式 材 料 層 1 5的 厚 度 * 大 概 至 少 要 等 於 或 大 於 依 本 發 明 方 法 1 1 所 形 成 之 通 路 開 P 之 期 望 高 度 〇 抛 棄 式 材 料 層 1 5之 厚 度 1 I 範 圍 約 從 1 , 00 0 X到 10 ,0 00 〇 A, 約從1 ,〇 0 0 A到8 ,〇 0 0 X較佳 1 1 ♦ 約 3, 0 0 0 X到 6 , 0 0 6 0 A 更 佳 〇 1 當 然 > 厚 度 之 變 化 取 決 於 設 計 參 數 〇 - 1 I 參 考 第 2 圖 9 抛 棄 式 層 被 樣 態 化 » 至 少 形 成 一 柱 12 ,於 1 1 該 處 將 形 成 通 路 孔 洞 或 開 P 〇 在 基 板 之 實 例 部 分 9 偽 形 1 1 成 3 m 柱 〇 但 是 » 本 項 技 術 人 士 將 會 瞭 解 在 1C S之製迪 1 中 * 可 以 形 成 許 多 的 柱 » 以 接 觸 在 其 下 之 導 電 區 〇 例 如 1 f 拋 棄 式 層 的 樣 態 化 包 含 沈 積 ARC和光阻層, 及用曝光 1 I 源 使 待 形 成 柱 之 區 域 外 的 光 阻 曝 光 〇 然 後 將 該 光 阻 層 顳 1 影 * 再 移 除 曝 光 的 部 分 〇 7 之 後 由 例 如 9 反 m 離 子 拽 1 1 1 1 本纸張尺度適用中國國家標皁(C'NS 1 ; :!() )
__p A 7 B7 五、發芯 ;q£L^lL· W 經濟部中央標準局員工消費合作社印製 刻(RIE),各向異性地蝕刻基板。移除未受光阻層保護之 拋棄式層的部分.留下對應於與導電區22接觸之通路位 冒的柱。雖然是用正光阻說明,但是也可以使用負光阻。 通常,導電層的柱之距離會根據已知導體之載流需求 而改變(如下之說明.導電材料會取代各螺柱1 2 ),使得 可以解決可靠度間題,如電子遷移。但是,在期望低電 流時.半導體的尺寸及間隔將限制,成為某特定半導體 元件及/或半導體製程之待定最小寬度。在各柱12之間 的寬度範圍通常約從0 . 1 5 w m到i . Ο /ζ πι ,約從0 . I 5 w m到 0.35« m較佳,約從0.15« m到0.35w ra則更佳。 至少一柱1 2樣態化之後,在半導體基板2 0之表面上和 柱12之上表而上形成IMD層5(參見第3圖)。用在此處所說 明之方法中的I M D材料可以包含本項技術人士所知的任 何滴當的介電材料。在一實施例中,IMD材料包含Α418 SOG. HSG-R7 S0G,有機摻雜的CVD氣化物,反相的CVD 氣化物,含矽材料,而未摻雜的矽酸玻璃,有機金屬, 如B C Β或類似之材料。 該IMD層5通常偽形成在半導體基板20的表面上和柱 12的整値上表而上,當作其後的平坦化層。在形成IMD 層之後,其後的平坦化層可直接透過成形製程完成,如 旋塗膜之方法,或藉由應用平坦化技術,如化學機械研 磨(CMP)予以完成。IMD之厚度要夠.才能足以容納柱及 在其下之導線。例如,IMD之厚度要比螺栓之高度大h , 其中h約等於導線之髙度。當然h取決於設計參數,通 8 - 本紙張尺度適用中國國家標準(CNS ) Λ4現格(210X297公浼) 扣衣------1T---;---^ . - (請先閱讀背面之注意事項再填寫本頁) A7 137 五、發明説明(7 ) 常,中間金屬介電層5之厚度範圍傺約從2000 λ到20000又 ,以約從30()()1到200〇i較佳,而約從4000Α到900(^更佳。 用以形成中間金颶介電層5之技術傜在本項技術人 士能理解的範圍内。 可選擇地,該IMD層稍小於或約等於該柱之厚度。在 平坦化之後,在其上形成第二I MD層。此第二ΙΟ層之厚 度通常約第於導線之高度。第二IHD層為一種可以選擇 性蝕刻到第一 ΙΜΙ)層之材料。藉由雙IMD層之提供,該第 一 IMD層作為藉蝕刻而形成在其下之導線畤的蝕刻停止 層〇 經濟部中央標隼局員工消费合作社印聚 (請先閱讀背而之注意事項再填寫本頁 '1Τ 參考第4圖,ΙΜΙ)層樣態化,以産生導線開口 9導線開 口之樣態化俗使用傳統的徹影製程和蝕刻技術完成。例 如,此種技術包含沈積ARC和光阻層,及其後用曝光源 如深紫外線(DUV)或極深紫外線(EUV)之射光,選擇性曝 光該光阻層。也可以使用其他波長之射光,之後顯影, 移除光阻層之曝光區,以曝露對應線開口 9之IMD表面 。再用RIE産生開口 9, RIE在適當時機終fh,以蝕刻的 夠深,才能到達柱之頂部,或是當使用雙IMD層時,用 蝕刻停It層技術终止。 其次,從導線開口 9之中,藉由濕或乾蝕刻製程,選 擇性移除各個柱12,以産生通路開口 11,(如第5圖所示) 。根據本發明,可以選擇性蝕刻該柱到該I M D層。該柱和 IMD之間的蝕刻選擇性要夠,才能不用實際上,移除該IMD 層而可以移除柱。在一實施例中,該柱和IMD之間的蝕刻 本紙张Κ度適用中國國家標準(CNS ) ( 210Χ297公#·) 經滴部中央標準局員工消费合作社印54 A7 137 五、發明説明(及) 遴擇性約差8·· 1,約差12: 1更佳,而約g20: 1則更佳。 用以産生通路開口 11之參數(如蝕刻劑之種類,蝕刻劑 之濃度,時間,溫度等)俗在本技術人士所知之範圍中。 蝕刻劑之選擇取決於數値因子,其中包含柱之組成。適 合的蝕刻劑包含BHF, CDE和氣。表1表列可以使用以移 除柱之蝕刻劑的材料和種類。 表 1 抛秦式材料 IMD 蝕刻物 -------------------訂 (請先間讀背而之注意事項再填寫本頁) 可流動的氣化物(F 0 X ) A4 18 SOG BH F F〇 X HSG-R7 S0G BHF F〇 X 有機摻雜的 CVD 氧化物 BHF F〇 X C V D氣化物 BH F CVD氣化物 A4 18 S0G BHF C V D氧化物 HSG-R7 S0G BHF BSG A418 S0G BHF BSG HSG-R7 S0G BHF BSG 有機摻雜的 CVD 氣化物 BHF BS G 反相的CVD氧化物 BHF S i N CVD氣化物 CDE 有機材料, 不含矽的材料(對 CVD氣化物 氣 苯二甲撐, 聚酵亞胺, P B0 ) 有機材料, 不含矽的材 料(對苯含S i的有機 氣 二甲撐,正 威光聚挺亞 胺,ΡΒ0)金鼷(BCB) -10- 本紙張乂度沩^ W ( ('NS ) Λ4 規枋(2 1 Ο X 297,:.> 兮) 經濟部中央標準局員工消費合作社印聚 Λ7 B7五、發明説明(9 ) 在形成通路開口 11之後,將導電材料25沈積在其中, 曰.请滿通路開口 11和導線開口 9。如第6圖所示。導電 材料25可藉由任何已知的或傳統的程序形成,如利用選 擇性的化學氣相沈積法(C V D )。此處可以使用任何的傳 統導雷材料,形成導電材料2 5之適用材料包含但不僅限 於Ti, TiN, TiW, W,Al,Cu. Pd或類似之材料。而較佳之 材料為W和A U 雖然本發明已參者上述具有某種程度特殊性之實施例 説明.但是變化例和變更例有可能在那些技術技藝中, 因此,本發明表示其為不離本發明精神和範圍之恃別説 明,、 參考符號説明 (請先閱讀背面之注意事項再填寫本頁)
、1T 15......拋棄式材料層 20......半導體基板 2 2......導電區 5.......IMD 層 12......柱 9.......線開口 11......通路開口 -11- 本紙張尺度適用中國國家標隼(CNS ) Λ4規格(210X 297公处)

Claims (1)

  1. g71 〇8〇59 399314 as
    C8 ' D8 經濟部中央標準局員工消費合作社印裝 六、申請專利範圍 1 1 1 .一 種 製 造 雙 紋 路 結 構 之 方 法 • 包 含 * 1 1 a)在 至 少 包 含 一 導 電 區 之 半 導 體 基 板 上 形 成 一 層 抛 1 I 棄 式 (s a c r i f i c i a 1 )材料層 • » 請 1 1 b)使 抛 棄 式 材 料 層 之 樣 態 化 以 在 該 導 電 區 上 能 至 少 先 閱 1 I 讀 1 1 提 供 一 柱 (S t U d) 背 ιέ 1 1 之 1 c )在 環 繞 至 少 柱 之 半 導 醱 基 板 上 形 成 介 電 層 及 注 I 意 I d) 在 中 間 金 屬 介 電 層 中 » 形 成 導 線 開 □ i 至 少 一 柱 事 項 1 I 再 1 Λ 之 一 部 分 會 曝 露 在 開 Π 之 中 〇 法 其 中 寫 本 衮 2 •如 請 專 利 範 圍 第 1 項 之 方 » 該 抛 棄 式 材 料 層 頁 之 蝕 刻 速 率 大 於 該 中 間 金 靥 介 電 層 〇 ! 3 .如 申 請 專 利 範 圍 第 1 項 之 方 法 其 中 該 抛 棄 式 材 料 層 1 I 之 材 料 傷 選 擇 白 由 可 流 動 的 氧 化 物 * CVD氧化物, BSG 1 1 訂 1 9 s i N聚對苯二甲撐( pa r y 1 e n e ), 聚醅亞胺和ΡΒ0所 組 成 之 組 群 〇 1 I 4 •如 請 專 利 範 圍 第 1 項 之 方 法 9 其 中 該 中 間 金 颺 介 電 1 1 層 之 材 料 僳 選 擇 白 由 有 機 摻 雜 的 c V D氣化物, C VD 氧 化 1 | 物 和 含 矽 有 機 材 料 所 組 成 之 組 群 〇 | 5 .如 申 請 專 利 範 圍 第 1 項 之 方 法 9 進 一 步 包 含 ; 在 形 成 1 1 導 線 開 η 前 之 中 間 金 颳 介 電 層 的 平 坦 化 步 驟 〇 1 | 6 如 申 請 專 利 範 圍 第 1 項 之 方 法 9 還 包 含 之 步 驟 為 ! 1 e ) 白 該 中 間 金 屬 介 電 層 移 除 該 至 少 一 柱 9 以 形 成 通 1 I 道 及 1 1 f)沈 積 一 導 電 材 料 在 該 通 道 内 〇 1 1 7 .如 申 請 專 利 範 圍 第 6 項 之 方 法 t 其 中 該 導 電 材 料 % 選 1 1 -1 2- 1 1 1 1 本紙伕尺度適用中國國家標準(CNS ) Λ·4現格(-、:〇y 公釐) A8 393314 ll D8 六、申請專利範圍 擇自由W和A丨組成之組群。 8. 如申請專利範圍第6項之方法,其中該移除步驟包含 蝕刻該至少一柱。 9. 如申請專利範圍第8項之方法,其中蝕刻步驟中所用 之鈾刻劑俗遘自BHF和氣所組成之組群。 10. —種製造雙紋路結構之方法,包含: a) 在至少一部分的半導體基板上形成一拋棄式材料 層.該拋棄式材料層係要製作成至少一個柱之樣態; b) 在至少一部分的該半導體基板上形成一中間金屬 介電層,環繞並覆蓋至少一柱,該中間金屬介電層具 有一平坦的表面; c )蝕刻該中間金屬介電層,至少要形成一痼導線開 口 ;及 d >自該中間金屬介電層移除該至少一柱而形成通路。 11. 如申請專利範圍第10項之方法,其中該抛棄式材料層 之蝕刻速率高於該中間金屬介電層。 經滴部中央標準局員工消費合作社印裝 (請先閱讀背面之注意事項再填寫本頁) 12. 如申請專利範圍第10項之方法,其中該拋棄式材料 厣之材料俗選擇自由可流動的氣化物,CVD氣化物, BSG, SiN,對苯二甲撑,聚醯亞胺和ΡΒ0所組成之組 群。 13. 如申請專利範圍第10項之方法,其中該中間金颶介 電層之材料偽選擇自由有機摻雜的CVD氣化物,CVD氣 化物和含矽有機材料所組成之組群。 14. 如申請專利範圍第10項之方法,其中該移除步驟包 -1 3 - 本紙張尺度適用中國國家標準(CNS ) Λ4规格(2丨0X 297公釐) 399314 A8 Βδ C8 D8 六、申請專利範圍 含該至少一柱之蝕刻。 15. 如申謫專利範圍第14項之方法,其中在蝕刻步驟所 使用之蝕刻劑僳選擇自由B H F和氣所组成之組群。 16. 如申請專利範圍第10項之方法,還包含用導電材料 埔充在該中間金腸介電層中之通輅的步驟。 17. 如申謫專利範圍第16項之方法,其中該導電材料係 選擇自由W和Α1所組成之組群。 18. —種形成雙紋路結構所用之結構,包含: -基板; -形成在該基板上,具有第一蝕刻速率之抛棄式材 料柱; -具有第二蝕刻速率且環繞該柱之中間金颶介電層 ,該第一蝕刻速率大於第二蝕刻速率;及 -導線開口,形成在該中間金颶介電層中,且曝露 一部分之柱。 19. 如申請專利範圍第18項之結構,其中該基板包含一 導體,且該柱位在一部分之導體上。 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印裝 -14- 本紙張尺度適用中國國家標隼(CNS ) Λ4規格(210Χ 297公釐)
TW087108059A 1997-06-30 1998-05-25 Improved dual damascene structure TW399314B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/884,729 US6033977A (en) 1997-06-30 1997-06-30 Dual damascene structure

Publications (1)

Publication Number Publication Date
TW399314B true TW399314B (en) 2000-07-21

Family

ID=25385261

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087108059A TW399314B (en) 1997-06-30 1998-05-25 Improved dual damascene structure

Country Status (7)

Country Link
US (1) US6033977A (zh)
EP (1) EP0890984B1 (zh)
JP (1) JP4690509B2 (zh)
KR (1) KR100535798B1 (zh)
CN (1) CN1152413C (zh)
DE (1) DE69826934T2 (zh)
TW (1) TW399314B (zh)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004870A (en) * 1997-08-26 1999-12-21 Texas Instruments Incorporated Method for forming a self-aligned contact
TW377502B (en) * 1998-05-26 1999-12-21 United Microelectronics Corp Method of dual damascene
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6093632A (en) * 1998-12-07 2000-07-25 Industrial Technology Research Institute Modified dual damascene process
TW406369B (en) * 1998-12-18 2000-09-21 United Microelectronics Corp Method for manufacturing damascene
JP3214475B2 (ja) * 1998-12-21 2001-10-02 日本電気株式会社 デュアルダマシン配線の形成方法
EP1030361A1 (en) * 1999-02-15 2000-08-23 Nec Corporation Manufacturing method of semiconductor device using a dual damascene process
US6204143B1 (en) * 1999-04-15 2001-03-20 Micron Technology Inc. Method of forming high aspect ratio structures for semiconductor devices
US6534870B1 (en) * 1999-06-15 2003-03-18 Kabushiki Kaisha Toshiba Apparatus and method for manufacturing a semiconductor device
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
EP1212788B1 (en) * 1999-08-26 2014-06-11 Brewer Science Improved fill material for dual damascene processes
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6380003B1 (en) * 1999-12-22 2002-04-30 International Business Machines Corporation Damascene anti-fuse with slot via
US6319821B1 (en) * 2000-04-24 2001-11-20 Taiwan Semiconductor Manufacturing Company Dual damascene approach for small geometry dimension
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
JP4740518B2 (ja) * 2000-07-17 2011-08-03 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6440842B1 (en) * 2001-02-02 2002-08-27 Macronix International Co. Ltd. Method of forming a dual damascene structure by patterning a sacrificial layer to define the plug portions of the structure
US6355563B1 (en) * 2001-03-05 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Versatile copper-wiring layout design with low-k dielectric integration
US20020164544A1 (en) * 2001-05-02 2002-11-07 Advanced Micro Devices, Inc. Dual damascene using removable via studs
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6821896B1 (en) 2001-05-31 2004-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method to eliminate via poison effect
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US6680262B2 (en) 2001-10-25 2004-01-20 Intel Corporation Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US7060633B2 (en) * 2002-03-29 2006-06-13 Texas Instruments Incorporated Planarization for integrated circuits
US20030186536A1 (en) * 2002-03-29 2003-10-02 Brenner Michael F. Via formation in integrated circuits by use of sacrificial structures
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7253112B2 (en) 2002-06-04 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6642139B1 (en) * 2002-06-28 2003-11-04 Macronix International Co., Ltd. Method for forming interconnection structure in an integration circuit
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
KR100462884B1 (ko) * 2002-08-21 2004-12-17 삼성전자주식회사 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US6794262B2 (en) * 2002-09-23 2004-09-21 Infineon Technologies Ag MIM capacitor structures and fabrication methods in dual-damascene structures
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
EP1579482A4 (en) * 2002-10-28 2009-03-18 Acute Inc METHOD AND DEVICE FOR PLANARIZING A SEMICONDUCTOR WAFER
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
CN100431133C (zh) * 2002-12-20 2008-11-05 Nxp股份有限公司 半导体器件的制造方法和利用这种方法获得的半导体器件
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
GB2400245B (en) * 2003-04-01 2005-09-28 Power Gems Ltd Ignition system for a high-frequency high-intensity discharge lamp system
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7361455B2 (en) * 2004-03-31 2008-04-22 Intel Corporation Anti-reflective coatings
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US6977210B1 (en) * 2004-06-08 2005-12-20 Nanya Technology Corporation Method for forming bit line contact hole/contact structure
KR100643568B1 (ko) 2004-06-30 2006-11-10 주식회사 하이닉스반도체 반도체소자의 깊은 콘택홀 형성 방법
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060286792A1 (en) * 2005-06-20 2006-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US7452804B2 (en) * 2005-08-16 2008-11-18 Infineon Technologies Ag Single damascene with disposable stencil and method therefore
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US7892962B2 (en) * 2007-09-05 2011-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Nail-shaped pillar for wafer-level chip-scale packaging
FR2974194B1 (fr) 2011-04-12 2013-11-15 Commissariat Energie Atomique Procede de lithographie
US9761450B1 (en) * 2016-09-26 2017-09-12 International Business Machines Corporation Forming a fin cut in a hardmask
JP6982976B2 (ja) 2017-04-19 2021-12-17 キヤノン株式会社 半導体デバイスの製造方法および半導体デバイス
CN113571466B (zh) * 2020-04-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
WO2023023060A1 (en) * 2021-08-16 2023-02-23 Microchip Technology Incorporated Method of forming an integrated circuit via

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4523372A (en) * 1984-05-07 1985-06-18 Motorola, Inc. Process for fabricating semiconductor device
JPS60250650A (ja) * 1984-05-25 1985-12-11 Nec Corp 層間膜のスル−ホ−ル形成方法
DE59009067D1 (de) * 1990-04-27 1995-06-14 Siemens Ag Verfahren zur Herstellung einer Öffnung in einem Halbleiterschichtaufbau und dessen Verwendung zur Herstellung von Kontaktlöchern.
US5158910A (en) * 1990-08-13 1992-10-27 Motorola Inc. Process for forming a contact structure
US4997790A (en) * 1990-08-13 1991-03-05 Motorola, Inc. Process for forming a self-aligned contact structure
EP0609496B1 (de) * 1993-01-19 1998-04-15 Siemens Aktiengesellschaft Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene
US5434451A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Tungsten liner process for simultaneous formation of integral contact studs and interconnect lines
JPH07122637A (ja) * 1993-10-25 1995-05-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH088209A (ja) * 1994-01-10 1996-01-12 Cypress Semiconductor Corp 半導体装置の製造のための除去されるポストの処理方法
US6297110B1 (en) * 1994-07-29 2001-10-02 Stmicroelectronics, Inc. Method of forming a contact in an integrated circuit
US5529953A (en) * 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
JPH08288385A (ja) * 1995-04-13 1996-11-01 Toshiba Corp 半導体装置の製造方法
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
KR0179292B1 (ko) * 1996-04-12 1999-04-15 문정환 반도체소자의 다층배선 형성방법

Also Published As

Publication number Publication date
KR100535798B1 (ko) 2006-02-28
CN1208947A (zh) 1999-02-24
JP4690509B2 (ja) 2011-06-01
EP0890984A1 (en) 1999-01-13
JPH1174356A (ja) 1999-03-16
KR19990007227A (ko) 1999-01-25
DE69826934T2 (de) 2005-10-13
EP0890984B1 (en) 2004-10-13
CN1152413C (zh) 2004-06-02
US6033977A (en) 2000-03-07
DE69826934D1 (de) 2004-11-18

Similar Documents

Publication Publication Date Title
TW399314B (en) Improved dual damascene structure
US11355430B2 (en) Capping layer overlying dielectric structure to increase reliability
US20050024979A1 (en) Metal-insulator-metal capacitor and interconnecting structure
KR960026641A (ko) 선택적 질화물 및 산화물 에칭을 이용하는 플러그 스트랩 공정
JP2005340808A (ja) 半導体装置のバリア構造
JPH08236729A (ja) 半導体素子の製造方法
JPH0645329A (ja) 高集積半導体装置およびその製造方法
TW461037B (en) Method for fabricating an integrated circuit having at least one metallization plane
EP1245045B1 (en) A method of fabricating a semiconductor device having a reduced signal processing time
TW444375B (en) Integrated circuit-arrangement and its production method
JPH1092935A (ja) 半導体デバイスの接触体とその製造法
JP3526289B2 (ja) 半導体装置の製造方法
US20230178379A1 (en) Film deposition for patterning process
KR100539443B1 (ko) 반도체 소자의 금속배선 형성방법
JPH1074837A (ja) 半導体装置及びその製造方法
JPH11220025A (ja) 半導体装置およびその製造方法
US6284645B1 (en) Controlling improvement of critical dimension of dual damasceue process using spin-on-glass process
JPH0653331A (ja) 半導体装置及びその製造方法
KR100661372B1 (ko) Mim 캐패시터를 구비한 반도체 소자 및 그 제조방법
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR100269662B1 (ko) 반도체 장치의 도전체 플러그 형성 방법
KR100712817B1 (ko) 반도체 장치 및 그 형성 방법
TW396528B (en) Dual damascene structure
KR100400282B1 (ko) 반도체소자의캐패시터제조방법
JPH11265936A (ja) 半導体装置の導電体の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees