JP4690509B2 - 改良二重ダマスク構造体 - Google Patents

改良二重ダマスク構造体 Download PDF

Info

Publication number
JP4690509B2
JP4690509B2 JP18405798A JP18405798A JP4690509B2 JP 4690509 B2 JP4690509 B2 JP 4690509B2 JP 18405798 A JP18405798 A JP 18405798A JP 18405798 A JP18405798 A JP 18405798A JP 4690509 B2 JP4690509 B2 JP 4690509B2
Authority
JP
Japan
Prior art keywords
stud
dielectric layer
layer
conductive
intermetal dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP18405798A
Other languages
English (en)
Other versions
JPH1174356A (ja
Inventor
グーチェ マーティン
トベン ディルク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of JPH1174356A publication Critical patent/JPH1174356A/ja
Application granted granted Critical
Publication of JP4690509B2 publication Critical patent/JP4690509B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体の製造、さらに詳細には、二重ダマスク構造体の形成に関するものである。
【0002】
【従来の技術】
一般に、半導体装置には、多数の回路が含まれ、これらが集積回路を形成している。集積回路は、コンピュータおよび電子機器にとって有用であり、数百万個のトランジスタおよび他の回路素子を含むことがあり、これらをシリコン単結晶半導体装置、すなわちチップ上に製造することができる。装置を作動させるためには、信号路の複雑なネットワークが、通常、装置の表面に分布させられた回路素子を接続するように経路決定することになる。装置全体に亘る前記の信号の効率的な経路決定は、集積回路の複雑性が増すよりも一層困難になる。したがって、二重ダマスク構造体として周知の多段または多層の配列は、装置の密度を増大させ、したがって高密度で組み込まれた半導体装置の積層相互接続段を可能にする性能により望ましい。
【0003】
二重ダマスク構造体で集積回路を製造する場合、半導体装置の酸化シリコンのような絶縁物質または誘電物質が、通常、たとえば、数千の開口でパターン化されて、導電性ライン開口およびバイア開口を形成させる。次いで、集積回路の能動素子および/または受動素子を相互接続させるために、導電性ライン開口およびバイア開口を、導電性金属層、たとえばアルミニウムで充填することができる。また、二重ダマスク構造体は、半導体装置を取付けることができる多層基板の絶縁層、たとえばポリイミド中に、金属、たとえば銅の多層導電性ラインを形成させるのに利用することもできる。
【0004】
二重ダマスク構造の製造方法は既知である。たとえば、米国特許第5,422,309号;同第5,529,953号;同第5,602,423号;および同第5,614,765号を参照されたい。一般に、標準二重ダマスク構造体は、まず、反射防止膜(ARC)およびフォトレジスト層によって絶縁層をコーティングすることによって製造することができる。次いで、フォトレジストは、バイア開口の画像パターンを持つ第1マスクによって露光され、前記のパターンが、絶縁層によって異方性にエッチングされて、下にある導電性層を露光する。バイア開口をエッチングした後、残りのARCおよびフォトレジストが除去される。次いで、ARCおよびフォトレジストの新しい層が付着させられる。このレジストは、導電性ライン開口の画像パターンを持つ第2マスクによって露光される。第2画像パターンは、一般に、導電性ライン開口によってバイア開口を包囲するように第1マスクパターンと位置合わせされることになる。導電性ライン開口が形成されることになるレジストの部分が除去されて、バイア開口および絶縁層を露光する。次いで、露光された絶縁層は、エッチングされて、導電性ラインの高さに等しい所望の深さにされる。エッチングが完了すると、バイア開口および導電性ライン開口の2つを、導電性金属層で充填することができる。
【0005】
ARCおよびフォトレジスト層の第2の付着によってバイアをARCで充填し、導電性ライン開口を形成する次のエッチングの間、バイア内にポリマーを沈着させる。原則として、小さくなるにつれて、前記ポリマーの沈着により、バイアおよび導電性ライン開口の境界面にSiO2フェンスが形成されることになる。フェンスの存在は金属がバイアへ流入するのを遮断し、そこに空隙を形成させる。このような空隙により、バイア抵抗および場合によってはバイア不良が増大することになる。
【0006】
【発明が解決しようとする課題】
上記により、バイア−ライン開口においてフェンスを形成させない二重ダマスク構造体を提供するという課題が課された。
【0007】
【課題を解決するための手段】
半導体基板上に犠牲物質層を形成する段階、半導体基板上に金属間誘電層を形成する段階、金属間誘電層に導電性ライン開口をエッチングする段階、そして犠牲物質層を除去する段階が含まれる二重ダマスク構造を製造する新規方法が見出された。
【0008】
特に有用な実施態様において、この方法には下記の段階が含まれる:
a)半導体基板の少なくとも1部分の上に犠牲物質層を形成する;
b)犠牲物質層を少なくとも1つのスタッド中にパターン化する;
c)半導体基板の少なくとも1部分の上に金属間誘電層を形成する;
d)金属間誘電層中に少なくとも1つの導電性ライン開口をエッチングする;
e)金属間誘電層から少なくとも1つのスタッドを除去する;および
f)金属間誘電層の少なくとも1つのスタッドを導電性物質と取替える。
【0009】
好ましい実施例の説明
二重ダマスク構造体を製造する方法の好ましい実施例を図面を参照しながら以下に述べる。
【0010】
本発明は、集積回路(IC)の組立てに関するものである。この種のICには、たとえば、ランダムアクセスメモリ(RAM)、ダイナミックRAM(DRAM)、シンクロナスDRAM(SDRAM)、スタティックRAM(SRAM)、および読出し専用メモリ(ROM)のような記憶回路が含まれる。他のICもは、プログラム可能論理アレイ(PLA)、用途特定IC(ASIC)のような論理装置あるいは任意の回路装置を含んでいる。本発明により、導電性ライン開口とバイア開口の境界面において、実質的に不完全に規定されたエッジのない二重ダマスク構造体が得られる。代表的には、複数のICは、シリコンウエ−ハのような半導体基板上に並列に製造される。処理後、ICを分割して複数の個々のチップにするためにウエ−ハが賽の目に切断される。次いで、チップはパッケージされて、たとえば、コンピュータシステム、携帯電話、個人用携帯情報機器(PDA)のような消費者製品および他の製品で使用するための最終製品にされる。
【0011】
1つの実施例では、この発明には、二重ダマスク構造が形成されている誘電物質と連結する犠牲物質の利用が含まれる。この場合、二重ダマスク構造が形成されている層が金属間誘電体(IMD)として表される。不完全に規定されたエッジは、IMDと比較してより高速のウエットエッチング速度および/またはドライエッチング速度である犠牲物質を選択することによって回避される。
【0012】
図1では、半導体基板20の一部が得られている。基板は、たとえば、シリコンウエ−ハから成る。また、ひ化ガリウム、絶縁体上のシリコン、ゲルマニウム、あるいは他の半導体材料のような他の基板も有用である。基板20には、その上に形成されたIC(図示されていない)が含まれる。ICは、処理工程のどの段階でもよい。基板には、金属化層のような導電性領域22が下に置かれている。また、導電性領域は、ハイドープポリシリコン層あるいはトランジスタのソースまたはドレーン領域のような能動素子の任意の部分である。1つの実施態様では、導電性領域はDRAMチップのビットラインを表している。導電性領域は、たとえば、誘電物質によって絶縁されている。代表的には、上表面30は平坦な上表面が得られるように平坦にされる。ICには、付加的な装置、回路および他の相互接続レベルが含まれることがある。
【0013】
図示されているように、犠牲物質層15は表面30上の半導体基板20の上に形成されている。犠牲物質層15に適した物質には、当業者に周知の任意の従来物質が含まれる。1つの実施態様において、層15を形成するのに利用される物質には、流動性酸化物、CVD酸化物、BSG、およびパリレン(Parylene(登録商標))、ポリイミド(たとえば感光性ポリイミド)、PBOのような非シリコン含有物質等が含まれる。ここに記載された方法で使用された犠牲物質は、以下に記載される半導体基板20の上に引き続き形成されるIMD層よりもはるかに高速なウエットエッチング速度および/またはドライエッチング速度である。
【0014】
厚さの適切な均一性を達成するために、通常、犠牲物質層15は、ほぼ平坦にされることになる。必要であれば、たとえば、CMPのような別個の平坦化工程を利用することができる。代表的には、半導体基板20の上に形成された犠牲物質層15の厚さは、通常、ここで記載された方法にしたがって形成されたバイア開口の期待された高さに少なくとも等しいか、それより大きくなる。犠牲物質層15の厚さは約1000Å〜約10000Å、好ましくは約1000Å〜8000Å、より好ましくは約3000Å〜約6000Åになることがある。もちろん、この厚さは設計のパラメータに依存して変わることがある。
【0015】
図2では、犠牲層が、バイアホールまたは開口が形成されることになる少なくとも1つのスタッド12を形成するようにパターン化されている。基板の例示部分には、3つのスタッドが形成されている。しかし、当業者には、ICの製造の際に、複数のスタッドが、下にある導電性領域に接触するように形成できることは自明のことである。犠牲層のパターン化には、たとえば、ARCおよびホトレジスト層の付着およびスタッドが形成されることになる場所以外の領域のホトレジストを露光源を用いて選択的に露光することが含まれる。レジスト層は現像され、かつ露光部分が除去される。次いで、基板は、たとえば、反応性イオンエッチング(RIE)によって異方性エッチングされる。レジストによって保護されていない犠牲層部分は除去され、導電性領域22と接触するバイア部に対応するスタッドが残る。ポジ型レジストについて述べたが、ネガ型レジストの利用もまた有用である。
【0016】
代表的には、導電性層とスタッドとの距離は、通常、所定の導体(以下に記載されるそれぞれのスタッド12で代替されることになる導電性物質)にとっての電流要件によって変動することになるので、たとえばエレクトロマイグレーションのような信頼性の問題を回避することができる。しかし、低電流が期待される場合、導体のサイズおよび間隔は、所定の半導体装置および/または半導体製造工程に固有の最小幅に限定されることになる。それぞれのスタッド12間の幅は、通常、約0.15ミクロン(μm)〜約1.0ミクロン、好ましくは約0.15μm〜約0.35μm、そしてより好ましくは約0.15μm〜約0.25μmになる。
【0017】
少なくとも1つのスタッド12のパターン化に続いて、IMD層5が半導体基板20の表面上に、スタッド12の上表面を覆って形成される(図3参照)。ここに記載された方法で利用されるIMD物質は、当業者には公知の任意の適切な誘電物質をも含むことがある。1つの実施態様では、IMD物質には、A418SOG,HSG−R7SOG、有機ドープCVD酸化物、変換CVD酸化物、シリコン含有物、非ドープケイ酸塩ガラス、BCBのような有機物質等が含まれる。
【0018】
IMD層5は、通常、半導体基板20上に、スタッド12の上表面を覆って、ほぼ平坦な層として形成させることができる。ほぼ平坦な層は、たとえば、膜上のスピンの場合のような形成工程によって直接にかまたはIMD層の形成後、化学−機械研摩(CMP)のような平坦化技術を適用することによって達成することができる。IMDの厚さは、スタッドおよび上にある導電性ラインを収容するのに十分である。IMDは、たとえば、スタッドの高さよりhだけ大きい厚さであるが、ただしhは導電性ラインの高さにほぼ等しいものとする。もちろん、hは設計パラメータに依存する。代表的には、金属間誘電層5の厚さは、約2000Å〜約20000Å、好ましくは約3000Å〜約12000Å、そしてより好ましくは約4000Å〜約9000Åまでになる。金属間誘電層5を形成する技術は、当業者に公知の範囲内である。
【0019】
また、IMD層は、ほぼスタッドの厚さより少し小さいかまたは等しい。平坦化後、第2のIMD層がその上に形成される。第2のIMD層の厚さは、代表的には導電性ラインの高さにほぼ等しい。第2のIMD層は、選択的にエッチングされて第1のIMD層にできる物質から成る。二重のIMD層を備えることによって、第1のIMD層は、上にある導電性ラインを形成するエッチングに対するエッチングストップとして作用する。
【0020】
図4では、IMD層が、導電性ライン開口9を形成するようにパターン化されている。導電性ライン開口のパターン化は通常のリソグラフィック技術およびエッチング技術を利用して完成される。このような技術には、たとえば、ARCおよびホトレジスト層の付着および引き続く、露光源からの深紫外線(DUV)または極紫外線(EUV)のような照射線を用いるレジスト層の選択的な露光が含まれる。他の波長の照射線もまた有用である。次いで、レジスト層の露光領域は、現像の間に除去され、ライン開口9に対応するIMD面を露光する。RIEは、開口9を形成するように実行される。RIEは、スタッドの頂部に達するのに十分な深さにエッチングするよう時間調節された時間で終了させられるかまたは二重IMD層が利用される場合には、エッチングストップ技術を用いて終了させられるかのいずれかである。
【0021】
次に、それぞれのスタッド12は、ウエットエッチング工程またはドライエッチング工程によって、導電性ライン開口9の中から選択的に除去されて、図5に示されるように、バイア開口11が形成される。本発明によれば、スタッドは選択的にエッチングされてIMD層にすることができる。スタッドとIMDとの間のエッチング選択性は、IMD層を効率的に除去せずに、スタッドを除去することが十分に可能である。1つの実施態様では、スタッドとIMDと間のエッチング選択性は約≧8:1、より好ましくは約≧12:1、なお好ましくは約≧20:1である。バイア開口11の形成のためのパラメータ(たとえば、腐食のタイプ、腐食の濃度、時間、温度等)は、当業者に公知の範囲内である。腐食の選択はスタッドの構造を含む多数の要因に依存する。適切な腐食には、BHFまたは酸素が含まれる。表1は、スタッドの除去に利用できる物質の組合わせと腐食のタイプの実例のリストである。
【0022】
【表1】
Figure 0004690509
【0023】
バイア開口11の形成に続いて、図6に示されるように、導電性物質25をバイア開口11および導電性ライン開口9の中に付着させ、かつ充填させる。導電性物質25を、たとえば、選択的化学蒸着法(CVD)のような任意の公知の方法または常法によって形成させることもできる。ここでは任意の通常の導電性物質を利用することができる。導電性物質25の形成に適する物質には、Ti、TiN、TiW、W、Al、Cu、Pd等が含まれるが、これらに限定されるものではない。好ましい物質は、WおよびAlである。
【0024】
上記の実施例に関して、ある程度詳細に本発明を記載したが、前記の説明を読めば、変更および変法が可能なことは当業者には明らかである。したがって、本発明の要旨および範囲から逸脱することなく、ここに詳細に記載された以外にも本発明は行われうるものである。
【図面の簡単な説明】
【図1】半導体基板に形成された犠牲物質層を示す断面図。
【図2】半導体基板上のスタッドにパターン化された犠牲物質層を示す断面図。
【図3】半導体基板表面にスタッドの上表面を覆って形成された金属間誘電層を示す断面図。
【図4】エッチングした導電性ライン開口を示す断面図。
【図5】スタッドを除去された導電性ライン開口を示す断面図。
【図6】導電性金属層で満たされた導電性ライン開口およびバイア開口を示す断面図。

Claims (5)

  1. 二重ダマスク構造体を製造するための方法において、
    a)少なくとも1つの導電性領域を含む半導体基板上に、流動性酸化物、CVD酸化物、BSG、パリレン(Parylene(登録商標))、ポリイミドおよびPBOから成るグループから選択された物質から製造された犠牲物質層を形成させ、
    b)導電性領域を覆う少なくとも1つのスタッドが得られるよう犠牲物質層をパターン化させ、
    c)半導体基板上に少なくとも1つのスタッドを包囲する、有機ドープCVD酸化物、CVD酸化物およびシリコン含有有機物質から成るグループから選択された物質から製造された金属間誘電層を形成させ、
    d)金属間誘電層に導電性ライン開口を形成させ、少なくとも1つのスタッドの頂部を開口内で露出させ、
    e)バイアを得るため金属間誘電層から少なくとも1つのスタッドを除去し、但し、この除去工程は少なくとも1つのスタッドのエッチングを含み、BHFまたは酸素はエッチング工程で使用され、および少なくとも1つのスタッドと前記金属間誘電層との間のエッチング選択性は≧8:1であるものとし、
    さらに、金属間誘電層中のバイアを導電性物質で充填する工程を含む
    ことから成ることを特徴とする、二重ダマスク構造体の製造方法。
  2. さらに、導電性ライン開口を形成する前に、金属間誘電層を平坦化する工程を含む、請求項1に記載の方法。
  3. 導電性物質を、WとAlとから成るグループから選択する、請求項に記載の方法。
  4. 二重ダマスク構造体を製造する方法において、
    a)半導体基板の少なくとも1部分の上に、少なくとも1つのスタッドにパターン化されている、流動性酸化物、CVD酸化物、BSG、パリレン(Parylene(登録商標))、ポリイミドおよびPBOから成るグループから選択された物質から製造された犠牲物質層を形成させ、
    b)半導体基板の少なくとも1部分の上に、表面が平坦な、有機ドープCVD酸化物、CVD酸化物およびシリコン含有有機物質から成るグループから選択された物質から製造された金属間誘電層を形成させて、少なくとも1つのスタッドを包囲させかつ覆わせ、
    c)金属間誘電層をエッチングして、少なくとも1つの導電性ライン開口を形成させ、少なくとも1つのスタッドの頂部を開口内で露出させ、か
    d)金属間誘電層から少なくとも1つのスタッドを除去して、バイアを形成させ、但し、この除去工程は少なくとも1つのスタッドのエッチングを含み、BHFまたは酸素はエッチング工程で使用され、および少なくとも1つのスタッドと前記金属間誘電層との間のエッチング選択性は≧8:1であるものとすることを含み、
    さらに、金属間誘電層中のバイアを導電性物質で充填する工程を含むことを特徴とする、二重ダマスク構造体の製造方法。
  5. 導電性物質を、WとAlとから成るグループから選択する、請求項に記載の方法。
JP18405798A 1997-06-30 1998-06-30 改良二重ダマスク構造体 Expired - Fee Related JP4690509B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/884729 1997-06-30
US08/884,729 US6033977A (en) 1997-06-30 1997-06-30 Dual damascene structure

Publications (2)

Publication Number Publication Date
JPH1174356A JPH1174356A (ja) 1999-03-16
JP4690509B2 true JP4690509B2 (ja) 2011-06-01

Family

ID=25385261

Family Applications (1)

Application Number Title Priority Date Filing Date
JP18405798A Expired - Fee Related JP4690509B2 (ja) 1997-06-30 1998-06-30 改良二重ダマスク構造体

Country Status (7)

Country Link
US (1) US6033977A (ja)
EP (1) EP0890984B1 (ja)
JP (1) JP4690509B2 (ja)
KR (1) KR100535798B1 (ja)
CN (1) CN1152413C (ja)
DE (1) DE69826934T2 (ja)
TW (1) TW399314B (ja)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004870A (en) * 1997-08-26 1999-12-21 Texas Instruments Incorporated Method for forming a self-aligned contact
TW377502B (en) * 1998-05-26 1999-12-21 United Microelectronics Corp Method of dual damascene
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6093632A (en) * 1998-12-07 2000-07-25 Industrial Technology Research Institute Modified dual damascene process
TW406369B (en) * 1998-12-18 2000-09-21 United Microelectronics Corp Method for manufacturing damascene
JP3214475B2 (ja) * 1998-12-21 2001-10-02 日本電気株式会社 デュアルダマシン配線の形成方法
EP1030361A1 (en) * 1999-02-15 2000-08-23 Nec Corporation Manufacturing method of semiconductor device using a dual damascene process
US6204143B1 (en) * 1999-04-15 2001-03-20 Micron Technology Inc. Method of forming high aspect ratio structures for semiconductor devices
US6534870B1 (en) * 1999-06-15 2003-03-18 Kabushiki Kaisha Toshiba Apparatus and method for manufacturing a semiconductor device
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
WO2001015211A1 (en) * 1999-08-26 2001-03-01 Brewer Science Improved fill material for dual damascene processes
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6380003B1 (en) * 1999-12-22 2002-04-30 International Business Machines Corporation Damascene anti-fuse with slot via
US6319821B1 (en) * 2000-04-24 2001-11-20 Taiwan Semiconductor Manufacturing Company Dual damascene approach for small geometry dimension
US6696220B2 (en) * 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
EP2270592B1 (en) * 2000-07-17 2015-09-02 Board of Regents, The University of Texas System Method of forming a pattern on a substrate
JP2004505273A (ja) * 2000-08-01 2004-02-19 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6440842B1 (en) * 2001-02-02 2002-08-27 Macronix International Co. Ltd. Method of forming a dual damascene structure by patterning a sacrificial layer to define the plug portions of the structure
US6355563B1 (en) * 2001-03-05 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Versatile copper-wiring layout design with low-k dielectric integration
US20020164544A1 (en) * 2001-05-02 2002-11-07 Advanced Micro Devices, Inc. Dual damascene using removable via studs
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6821896B1 (en) 2001-05-31 2004-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method to eliminate via poison effect
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US6680262B2 (en) 2001-10-25 2004-01-20 Intel Corporation Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US7060633B2 (en) * 2002-03-29 2006-06-13 Texas Instruments Incorporated Planarization for integrated circuits
US20030186536A1 (en) * 2002-03-29 2003-10-02 Brenner Michael F. Via formation in integrated circuits by use of sacrificial structures
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7253112B2 (en) 2002-06-04 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6642139B1 (en) * 2002-06-28 2003-11-04 Macronix International Co., Ltd. Method for forming interconnection structure in an integration circuit
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
KR100462884B1 (ko) * 2002-08-21 2004-12-17 삼성전자주식회사 희생충진물질을 이용한 반도체 장치의 듀얼다마신배선형성방법
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US6794262B2 (en) * 2002-09-23 2004-09-21 Infineon Technologies Ag MIM capacitor structures and fabrication methods in dual-damascene structures
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
WO2004040621A2 (en) * 2002-10-28 2004-05-13 Acute, Inc. Method and apparatus for planarizing a semiconductor wafer
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7491639B2 (en) * 2002-12-20 2009-02-17 Nxp, B.V. Method of manufacturing a semiconductor device and semiconductor obtained by means of such a method
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
GB2400245B (en) * 2003-04-01 2005-09-28 Power Gems Ltd Ignition system for a high-frequency high-intensity discharge lamp system
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7361455B2 (en) * 2004-03-31 2008-04-22 Intel Corporation Anti-reflective coatings
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US6977210B1 (en) * 2004-06-08 2005-12-20 Nanya Technology Corporation Method for forming bit line contact hole/contact structure
KR100643568B1 (ko) 2004-06-30 2006-11-10 주식회사 하이닉스반도체 반도체소자의 깊은 콘택홀 형성 방법
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060286792A1 (en) * 2005-06-20 2006-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US7452804B2 (en) * 2005-08-16 2008-11-18 Infineon Technologies Ag Single damascene with disposable stencil and method therefore
US7259102B2 (en) * 2005-09-30 2007-08-21 Molecular Imprints, Inc. Etching technique to planarize a multi-layer structure
US7892962B2 (en) * 2007-09-05 2011-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Nail-shaped pillar for wafer-level chip-scale packaging
FR2974194B1 (fr) 2011-04-12 2013-11-15 Commissariat Energie Atomique Procede de lithographie
US9761450B1 (en) * 2016-09-26 2017-09-12 International Business Machines Corporation Forming a fin cut in a hardmask
JP6982976B2 (ja) 2017-04-19 2021-12-17 キヤノン株式会社 半導体デバイスの製造方法および半導体デバイス
CN113571466B (zh) * 2020-04-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
WO2023023060A1 (en) * 2021-08-16 2023-02-23 Microchip Technology Incorporated Method of forming an integrated circuit via

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60250650A (ja) * 1984-05-25 1985-12-11 Nec Corp 層間膜のスル−ホ−ル形成方法
JPH08288385A (ja) * 1995-04-13 1996-11-01 Toshiba Corp 半導体装置の製造方法
JPH09283523A (ja) * 1996-04-12 1997-10-31 Lg Semicon Co Ltd 半導体素子の多層配線の形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4523372A (en) * 1984-05-07 1985-06-18 Motorola, Inc. Process for fabricating semiconductor device
EP0453644B1 (de) * 1990-04-27 1995-05-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer Öffnung in einem Halbleiterschichtaufbau und dessen Verwendung zur Herstellung von Kontaktlöchern
US5158910A (en) * 1990-08-13 1992-10-27 Motorola Inc. Process for forming a contact structure
US4997790A (en) * 1990-08-13 1991-03-05 Motorola, Inc. Process for forming a self-aligned contact structure
DE59308407D1 (de) * 1993-01-19 1998-05-20 Siemens Ag Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene
US5434451A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Tungsten liner process for simultaneous formation of integral contact studs and interconnect lines
JPH07122637A (ja) * 1993-10-25 1995-05-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH088209A (ja) * 1994-01-10 1996-01-12 Cypress Semiconductor Corp 半導体装置の製造のための除去されるポストの処理方法
US6297110B1 (en) * 1994-07-29 2001-10-02 Stmicroelectronics, Inc. Method of forming a contact in an integrated circuit
US5529953A (en) * 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60250650A (ja) * 1984-05-25 1985-12-11 Nec Corp 層間膜のスル−ホ−ル形成方法
JPH08288385A (ja) * 1995-04-13 1996-11-01 Toshiba Corp 半導体装置の製造方法
JPH09283523A (ja) * 1996-04-12 1997-10-31 Lg Semicon Co Ltd 半導体素子の多層配線の形成方法

Also Published As

Publication number Publication date
DE69826934T2 (de) 2005-10-13
CN1152413C (zh) 2004-06-02
KR100535798B1 (ko) 2006-02-28
EP0890984A1 (en) 1999-01-13
EP0890984B1 (en) 2004-10-13
TW399314B (en) 2000-07-21
KR19990007227A (ko) 1999-01-25
DE69826934D1 (de) 2004-11-18
CN1208947A (zh) 1999-02-24
US6033977A (en) 2000-03-07
JPH1174356A (ja) 1999-03-16

Similar Documents

Publication Publication Date Title
JP4690509B2 (ja) 改良二重ダマスク構造体
EP0895283B1 (en) Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6103629A (en) Self-aligned interconnect using high selectivity metal pillars and a via exclusion mask
US6294315B2 (en) Method of forming a metal wiring by a dual damascene process using a photosensitive polymer
KR101645825B1 (ko) 반도체 디바이스 및 그 제조 방법
JP2009135518A (ja) 相互接続の製造方法
JP2005340808A (ja) 半導体装置のバリア構造
JP3700460B2 (ja) 半導体装置およびその製造方法
US7015110B2 (en) Method and structure of manufacturing high capacitance metal on insulator capacitors in copper
US11804458B2 (en) Method of fabricating integrated circuit device
US6818547B2 (en) Dual damascene process
JPH1092935A (ja) 半導体デバイスの接触体とその製造法
US7371653B2 (en) Metal interconnection structure of semiconductor device and method of forming the same
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
CN111211095A (zh) 导电互连线的制造方法
US6563221B1 (en) Connection structures for integrated circuits and processes for their formation
JPH11186274A (ja) デュアル・ダマスク技術
KR20000072897A (ko) 반도체 장치의 제조 방법
US7504334B2 (en) Semiconductor device and method for manufacturing same
KR100866121B1 (ko) 반도체 소자의 금속배선 형성방법
JPH1174273A (ja) 集積回路の製造におけるサブ−グランドルールフィーチャーの形成方法
KR20230085819A (ko) 패터닝 프로세스를 위한 막 성막
KR20030049570A (ko) 반도체 소자의 금속배선 형성방법
KR980011855A (ko) 반도체장치의 금속배선 형성방법
KR20010003677A (ko) 반도체 소자의 다층 금속배선 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040519

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051021

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071114

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080423

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080602

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080620

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20101110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101110

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101227

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110106

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110218

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees