TW284920B - - Google Patents

Download PDF

Info

Publication number
TW284920B
TW284920B TW085100624A TW85100624A TW284920B TW 284920 B TW284920 B TW 284920B TW 085100624 A TW085100624 A TW 085100624A TW 85100624 A TW85100624 A TW 85100624A TW 284920 B TW284920 B TW 284920B
Authority
TW
Taiwan
Prior art keywords
layer
metal wire
silicon carbide
amorphous silicon
patent application
Prior art date
Application number
TW085100624A
Other languages
English (en)
Original Assignee
Dow Corning
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning filed Critical Dow Corning
Application granted granted Critical
Publication of TW284920B publication Critical patent/TW284920B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Parts Printed On Printed Circuit Boards (AREA)

Description

經濟部中央標準局員工消費合作社印製 A7 ______B7 五、發明説明(,) 本發明係在多層金屬積體電路及配線板設計上使用非晶 態碳化矽(a-SiC)膜作爲擴散障壁。非晶態碳化矽之作用爲 終止金屬原子在相連導電體間遷移,該導電體爲電迴路中 裝置内接點。由非晶態碳化矽擴散障壁加至迴路之可靠性 使得低電阻率導電體及低介電常數物質可用作導體間絕緣 介質低電阻率導電體、非晶態碳化矽擴散障壁及低介電常 數絕緣物之組合亦極小化迴路之電阻抗。迴路因此可在低 至高頻率有效率地操作。 >工氏等於”銅金屬化介電障壁研究"(於丨"4年6月7_8日之 VMIC研討會)中討論了銅擴散至氮化矽、氮氧化矽及氧化 矽介電質。此文獻説明了氮化矽及氮氧化矽較氧化矽爲更 佳金屬遷移障壁。然而該文獻並未討論使用碳化矽作障壁 〇 美國專利號5,1〇3,285相似地指出於矽基質及金屬線層間 使用碳化矽作爲障壁層。然而該專利並未指出於金屬線及 相連介電層間使用碳化矽作擴散障壁層。 吾人於今發現碳化矽可形成優異障壁層,出乎預料地可 避免低電阻率金屬導體擴散至介電層。 本發明一重要部份爲提供具較铤操作速度及較高可靠度 之較佳積體電路。迴路含裝至半導體所製基質中之固^^ 置久組件。次組件内裝置以由高導電性低電阻金屬所製金 屬線連線。非晶態碳化矽擴散障壁層至少會形成在金屬線 上。介電層而後形成在碳化矽層上。 本發明基於吾人出乎預料地發現非晶態碳化矽瓦終止金 ___ -4- 適用Τϋ家標準(CNS ) A4規格了21〇>< 297公着). 1------、訂------^ (請先閱讀背面之注意事項再填寫本頁) A7 B7 284920 五、發明説明(2 ) 屬」^裝置内接點間遷^移〇此進展使得積體 電路製造商1)能使用高導電性低電阻金屬(例如:銅、銀、 金、合金、超導體)做爲内接點物質;及2)能使用很低介 電常數物質做爲金屬線間絕緣層。若無非晶態碳化矽存在 ,使用高導電性金屬及低介電常數絕緣層之組合會遭逢可 靠度問題,如金屬遷移及腐蚀。 用於本發明方法之積體電路次組件不是絕對重要的且幾 乎任何在此皆有用。用以生產該迴路之方法亦爲習知且對 本發明不是絕對重要的。該等迴路例爲包括其上具長成外 延層之半導體基質(例如:矽、珅化鎵等)。此外延層適當 地摻雜以形成PN-結區域,其包括迴路有效固態裝置區域 。這些有效裝置區域當適當地以金屬線層互相連接時,爲 形成積體電路之二極體及電晶體。 圖1爲本發明裝置之剖面圖。圖1描繪了該迴路次组件 (1)具裝置區域(2)及内接至該裝置之薄膜金屬線(3)。 習知積體電路次组件内金屬線層一般爲鋁薄膜。藉使用 本發明,這些薄膜可由高導電性金屬而非鋁製成。此處所 謂高導電性金屬爲在20°C具電阻率低於2.5微歐姆-厘米者 。此包括銅、銀、金、合金及超導體。 技藝中習知澱積該高導電性金屬層之方法。所用特定方 法不是絕對重要的。該方法例包括多種物理氣相澱積 (PVD)技術,如濺射及電子束蒸發。 依本發明,碳化秒層爲施用於金屬線層。一般言之,此 乃藉覆蔽迴路次組件之所有上表面,當然包括金屬線,而 -5- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) I----^-----批衣------ΐτ------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 B7 五、發明説明(3 ) 加以芫成。此如示於圖1爲塗層(4)。然而另一方面,如藉 罩幕只在線上選擇性施用碳化矽或覆蔽所有表面而後蝕刻 不需要碳化硬之範圍皆是可以預期的。 施用碳化矽層之方法對本發明言不是絕對重要的且技藝 中習知甚多。施用方法例包括多種化學氣相澱積技術,如 習知化學氣相澱積、電漿加強化學氣相澱積(PECVD)、電 子遊旋共振(ECR)、噴射氣相澱積及多種物理氣相澱積技 術,如濺射及電子束蒸發。這些方法牵涉到不是加入能量 (以熱、電漿等型態)以蒸發物質而造成所欲反應就是集中 能量在物質固態樣品上使其澱積。 習知化學氣相澱積中,乃藉將所欲先質氣體流經加熱基 質而澱積塗層。當先質氣體接觸熱表面即起反應而澱積塗 層。依先質不同及所欲塗層厚度而定,在1〇〇_1〇〇(rc之範 園内之基質溫度在數分鐘至數小時内足以形成這些塗層。 若需要’反應性金屬可用於該方法以輔助澱積。 經濟部中央標準局員工消費合作社印製 在電槳加強化學氣相殿積(PECVD)中,將所欲先質氣體 冼經電漿域而反應。因此形成之反應物質而後集中完全黏 固至基質上。一般言之,本發明在化學氣相澱積上之優點 爲可用較低之基質溫度。例如,’基質溫度在5〇。〇至6〇〇。〇 即有作用。 用於該方法之電漿包含多種來源(如電子放電 '在收音 機頻率或微波範圍之電磁場、雷射或粒子束)所得能量。 在大邵份電漿澱積方法中一般較優者爲使用在中等功率密 度(0.1-5瓦特/平方公分之收音機頻率(1〇千赫茲至1〇2百 -6 - 本紙張尺度適用中國國家標準(CNS ) Α4ϋΓ^丨〇><297公餐7 經濟部中央標準扃員工消費合作社印製 A7 ^_ _B7 — - 五、發明説明(4 ) 赫茲)或微波(0.1-10十億赫茲)能量。然而特定頻率、功率 及壓力一般皆依先質氣體及所用設備而釐定。 適供用於這些方法之先質氣體例包括:(1)在具一至六個 碳原子之烷類(如甲烷、乙烷或丙烷)存在下矽烷或卣秒燒( 如三氣矽烷)混合物;(2)统基矽烷,如甲基碎燒、二甲基 碎燒及三甲基碎燒;或(3)如述於美國專利號5〇11 7〇6之 矽環丁烷或二矽環丁烷。 本發明特優者爲電漿加強化學氣相澱積三甲基碎燒。 在碳化梦澱積後,介電層而後施用於碳化砂·層上。此爲 圖1中習知之層間介電層(5)。此特定介電層及其殿積方法 對本發明言不是絕對重要的。然而使用本發明方法能使用 低介電常數(DK)層。此處所謂低介電常數層爲其介電常數 低於3.5者。 合適介電質例包括氧化矽、氮化矽、氮氧化矽、碳化碎 、碳氧化矽、氮碳化矽、如矽酮之有機物.、聚亞酿胺 環 氧或派拉林(PARYLENE™,商標名)。多於—層之這此介 電質明顯亦可用之。 施用這些塗層之技術亦爲技藝中習知。他們包括旋覆方 法、習知化學氣相澱積、光化學氣相澱積、電衆加強化學 氣氣相澱積(PECVD)、電子迴旋共振(ECR)、嘴射氣相殿 積及多種物理氣相澱積技術,如濺射及電子束蒸發。 本發明較優方法包括施用氫碘矽氧烷樹脂,其具梦構元 HSl(〇H)X(OR)y〇J2 ’其中每一 R獨立爲有機基,當^經^ 原子鍵結至矽酮時形成可水解取代基,X爲0-2,y爲〇 2,2 本紙張尺度適用巾國國家標準(CNS ) A4規格〇χ 297公羡) 111 I I I I 訂 I n 線 (請先閱讀背面之注意事項再填寫本頁) A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(5 爲1-3’且x + y + 2; = 3。這些樹脂可完全濃縮(x = 〇, y = 〇 且z = 3)或其可只部份水解(在所有聚合元中,y不等於〇)及 /或部份濃縮(在所有聚合元中,x不等於〇)。雖然不以此結 構表示’因在形成及處理中牵涉到許多因素,這些樹脂之 許多單元可能具零或多於一之矽-氫键。 這些樹脂例爲由美國專利號3,615,272、美國專利號 5,010,159、美國專利號4,91 29,397及美國專利號5,063,267之 方法所形成者。這些樹脂以旋覆方法使用,而後加熱使其 轉化成陶瓷。 若要用多層裝置,則可在介電層上形成另一層金屬線, 而後以蝕透介電層及碳化矽層使層間内接。圖1顯示該第 二金屬線層(7)經内接點(6)與第一線層選擇區域内接。然 而又若線爲高導電性物質,則在介電質及金屬間需再澱積 一碳化矽層(8)以避免金屬擴散至介電質。此碳化矽層可 如上述形成。在該情況下,金屬線夾於碳化矽層間。此方 法可在迴路中不同金屬層重覆許多次。例如圖1,顯示第 二介電層(9)、被第三碳化矽層(11)保護之第三線層(10)。 吾人應注意到,具低介電常數(即介電常數<5)之碳化妙 可取代介電層(即圖1中之層5及9)。在此實例中,只需如 前述在金屬線層上成碳化矽層,而後在碳化矽上形成另— 金屬層。 吾人亦應注意到,本發明技術可應用至配線板,於其上 裝置上述迴路。在這些配線板上金屬線及介電層之結構可 與如上述相同。 (請先閱讀背面之注意事項再填寫本頁) -裝.
.1T 1 2 本紙張尺度通用宁國國冢標準(0\5)八4«^(2丨0'乂297公釐

Claims (1)

  1. 、申請專利範圍 • ~種積體電路,其包括: A) 電路次組件,其包括具固態裝置區域之半導體基 材,及澱積於該半導體基材表面上而連接至固態裝置區 域之金屬線,該金屬線具電阻率低於2 5微歐姆_厘米; B) 至少覆蓋金屬線之非晶態唆化碎層; c) 至少覆蓋碳化矽層之介電層。 2·根據申請專利範圍第i項之積體電路,其中該非晶態碳化 矽係覆蓋金屬線及含装置區域之電路次組件表面。 3根據申請專利範圍第i項之積體電路,其進一步包括覆蓋 介電層之第二個非晶態碳化矽層。 4·根據申請專利範圍第3項之積體電路,其進—步包括形成 於第二個非晶態碳化矽層上之第二個金屬線層,其中第 二個金屬線層係電連接至第一個金屬線層。 5_ —種配線板,其包括: A) 配線板次組件,於其上包含具電阻率低於25微 歐姆-厘米之金屬線; B) 覆蓋金屬線之非晶態碳化矽層; c) 覆蓋碳化矽層之介電層。 ---------裝------訂 線 島 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 -9- 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇χ297公釐
TW085100624A 1995-02-02 1996-01-24 TW284920B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/382,701 US5818071A (en) 1995-02-02 1995-02-02 Silicon carbide metal diffusion barrier layer

Publications (1)

Publication Number Publication Date
TW284920B true TW284920B (zh) 1996-09-01

Family

ID=23510038

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085100624A TW284920B (zh) 1995-02-02 1996-01-24

Country Status (6)

Country Link
US (1) US5818071A (zh)
EP (1) EP0725440B1 (zh)
JP (1) JP3731932B2 (zh)
KR (1) KR100402187B1 (zh)
DE (1) DE69633150T2 (zh)
TW (1) TW284920B (zh)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100207444B1 (ko) * 1995-03-14 1999-07-15 윤종용 반도체 장치의 고유전막/전극 및 그 제조방법
US6350704B1 (en) 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
WO1999030363A2 (en) * 1997-12-10 1999-06-17 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing such a device
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
JP4763131B2 (ja) * 1998-10-01 2011-08-31 アプライド マテリアルズ インコーポレイテッド 低誘電率反射防止被膜に用いるシリコンカーバイドの堆積
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
EP1186009B1 (en) * 1999-05-03 2012-05-30 Imec Method for removal of sic
US20050099078A1 (en) * 1999-05-03 2005-05-12 Serge Vanhaelemeersch Method for removal of SiC
FR2794286B1 (fr) * 1999-05-26 2003-06-06 Commissariat Energie Atomique Niveau d'interconnexion de type damascene pour dispositif micro-electronique
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
DE19951595A1 (de) * 1999-10-27 2001-05-17 Bosch Gmbh Robert Massenflusssensor mit verbesserter Membranstabilität und einstellbarer Wärmeleitfähigkeit der Membran
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
JP3430091B2 (ja) * 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6541369B2 (en) * 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
US6975030B1 (en) 2000-01-10 2005-12-13 Micron Technology, Inc. Silicon carbide contact for semiconductor components
US7033920B1 (en) 2000-01-10 2006-04-25 Micron Technology, Inc. Method for fabricating a silicon carbide interconnect for semiconductor components
US6563215B1 (en) 2000-01-10 2003-05-13 Micron Technology, Inc. Silicon carbide interconnect for semiconductor components and method of fabrication
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
WO2001069672A1 (en) * 2000-03-13 2001-09-20 Koninklijke Philips Electronics N.V. A method of manufacturing a semiconductor device
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6429129B1 (en) * 2000-06-16 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method of using silicon rich carbide as a barrier material for fluorinated materials
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) * 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
US6936533B2 (en) * 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
JP3954312B2 (ja) * 2001-01-15 2007-08-08 ローム株式会社 半導体装置の製造方法
JP4625229B2 (ja) * 2001-02-15 2011-02-02 アイメック 半導体デバイスの製造方法
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6472333B2 (en) 2001-03-28 2002-10-29 Applied Materials, Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US20020177303A1 (en) * 2001-05-23 2002-11-28 Qing-Tang Jiang Method for sealing via sidewalls in porous low-k dielectric layers
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
KR100668819B1 (ko) * 2001-06-26 2007-01-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
AU2002347353A1 (en) * 2001-12-11 2003-06-23 Trikon Technologies Limited Diffusion barrier
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
US6777349B2 (en) * 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6972253B2 (en) * 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
EP1608013B1 (en) * 2003-09-30 2013-04-24 Imec Method of formation of airgaps around interconnecting line
US6871537B1 (en) * 2003-11-15 2005-03-29 Honeywell International Inc. Liquid flow sensor thermal interface methods and systems
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2006294671A (ja) * 2005-04-06 2006-10-26 Mitsui Chemicals Inc 低誘電率炭化珪素膜の製造方法
US20070210421A1 (en) * 2006-03-13 2007-09-13 Texas Instruments Inc. Semiconductor device fabricated using a carbon-containing film as a contact etch stop layer
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7604871B2 (en) * 2006-06-07 2009-10-20 Honeywell International Inc. Electrical components including abrasive powder coatings for inhibiting tin whisker growth
US8247322B2 (en) 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US20090075470A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
JP2009088267A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜方法、成膜装置、記憶媒体及び半導体装置
JP2010103445A (ja) * 2008-10-27 2010-05-06 Toshiba Corp 半導体装置及びその製造方法
WO2010147839A2 (en) * 2009-06-18 2010-12-23 Analog Devices, Inc. Silicon-rich nitride etch stop layer for vapor hf etching in mems device fabrication
US9340880B2 (en) 2009-10-27 2016-05-17 Silcotek Corp. Semiconductor fabrication process
US9777368B2 (en) 2009-10-27 2017-10-03 Silcotek Corp. Chemical vapor deposition coating, article, and method
US10604660B2 (en) 2010-10-05 2020-03-31 Silcotek Corp. Wear resistant coating, article, and method
FR2981793A1 (fr) * 2011-10-25 2013-04-26 St Microelectronics Crolles 2 Procede de fabrication de transistors a grille isolee
WO2014186470A1 (en) 2013-05-14 2014-11-20 Silcotek Corp. Vapor phase treatment of amorphous carbon films with (perfluoro 1,1,2,2 tetrahydroalkyl)trialkoxysilane
US11292924B2 (en) 2014-04-08 2022-04-05 Silcotek Corp. Thermal chemical vapor deposition coated article and process
US9915001B2 (en) 2014-09-03 2018-03-13 Silcotek Corp. Chemical vapor deposition process and coated article
US10316408B2 (en) 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9653398B1 (en) * 2015-12-08 2017-05-16 Northrop Grumman Systems Corporation Non-oxide based dielectrics for superconductor devices
US10323321B1 (en) 2016-01-08 2019-06-18 Silcotek Corp. Thermal chemical vapor deposition process and coated article
US10608159B2 (en) 2016-11-15 2020-03-31 Northrop Grumman Systems Corporation Method of making a superconductor device
US10487403B2 (en) 2016-12-13 2019-11-26 Silcotek Corp Fluoro-containing thermal chemical vapor deposition process and article
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US11161324B2 (en) 2017-09-13 2021-11-02 Silcotek Corp. Corrosion-resistant coated article and thermal chemical vapor deposition coating process
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
GB201814231D0 (en) * 2018-08-31 2018-10-17 Univ Surrey Apparatus for forming a poly(p-xylylene) film on a component
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
KR20210018650A (ko) * 2019-08-07 2021-02-18 삼성전자주식회사 반도체 장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2658304C2 (de) * 1975-12-24 1984-12-20 Tokyo Shibaura Electric Co., Ltd., Kawasaki, Kanagawa Halbleitervorrichtung
JPS58204572A (ja) * 1982-05-24 1983-11-29 Semiconductor Energy Lab Co Ltd 光電変換装置
JPS59119733A (ja) * 1982-12-24 1984-07-11 Toshiba Corp 半導体装置
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPS63150963A (ja) * 1986-12-12 1988-06-23 Fujitsu Ltd 半導体装置
US4960751A (en) * 1987-04-01 1990-10-02 Semiconductor Energy Laboratory Co., Ltd. Electric circuit having superconducting multilayered structure and manufacturing method for same
US5274268A (en) * 1987-04-01 1993-12-28 Semiconductor Energy Laboratory Co., Ltd. Electric circuit having superconducting layered structure
JP2546696B2 (ja) * 1987-12-17 1996-10-23 富士通株式会社 シリコン炭化層構造
KR930001428A (ko) * 1991-06-12 1993-01-16 김광호 반도체장치의 제조방법
JP2721603B2 (ja) * 1991-09-25 1998-03-04 富士写真フイルム株式会社 固体撮像装置の駆動方法と固体撮像装置
TW347149U (en) * 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers

Also Published As

Publication number Publication date
DE69633150D1 (de) 2004-09-23
EP0725440B1 (en) 2004-08-18
KR960032640A (ko) 1996-09-17
DE69633150T2 (de) 2005-08-18
EP0725440A3 (en) 1997-01-29
JPH08250594A (ja) 1996-09-27
KR100402187B1 (ko) 2004-02-11
JP3731932B2 (ja) 2006-01-05
US5818071A (en) 1998-10-06
EP0725440A2 (en) 1996-08-07

Similar Documents

Publication Publication Date Title
TW284920B (zh)
KR100754757B1 (ko) 초대규모 집적 회로를 위한 다층 구리 상호접속 방법
US5391914A (en) Diamond multilayer multichip module substrate
TW308719B (zh)
JP4242648B2 (ja) 金属イオン拡散バリア層
CN1259762A (zh) 增强无机介质与铜的粘附性的等离子体处理
TW200401339A (en) Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
CN104253086B (zh) 用于金属氧化物还原的预处理方法和所形成的器件
JP2002505803A (ja) パリレンコーティングの製造方法
WO2000025361A1 (en) Semiconductor device and manufacture thereof
JP4753467B2 (ja) 半導体デバイス内の固定電荷を低減する方法
US4716071A (en) Method of ensuring adhesion of chemically vapor deposited oxide to gold integrated circuit interconnect lines
US6790336B2 (en) Method of fabricating damascene structures in mechanically weak interlayer dielectrics
JP2000174019A (ja) 半導体装置及びその製造方法
US7943505B2 (en) Advanced VLSI metallization
US5631046A (en) Method of metallizing a diamond substrate without using a refractory metal
TW449922B (en) Process for manufacturing a semiconductor device
KR100256825B1 (ko) 반도체소자의 금속배선 형성방법
JPH035074B2 (zh)
JPH11217671A (ja) 金属膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
JPH0740599B2 (ja) 高熱伝導性絶縁基板およびその製法
JP5362029B2 (ja) 半導体装置の製造方法
TW511160B (en) Method of a surface treatment in impromoving adhesion of an organic polymeric low-k dielectric layer
KR20030001036A (ko) 반도체 장치의 콘택/비아 제조방법
DE29623946U1 (de) Siliciumcarbidmetalldiffusionssperrschicht

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent