KR100754757B1 - 초대규모 집적 회로를 위한 다층 구리 상호접속 방법 - Google Patents

초대규모 집적 회로를 위한 다층 구리 상호접속 방법 Download PDF

Info

Publication number
KR100754757B1
KR100754757B1 KR1020037010332A KR20037010332A KR100754757B1 KR 100754757 B1 KR100754757 B1 KR 100754757B1 KR 1020037010332 A KR1020037010332 A KR 1020037010332A KR 20037010332 A KR20037010332 A KR 20037010332A KR 100754757 B1 KR100754757 B1 KR 100754757B1
Authority
KR
South Korea
Prior art keywords
metal
layer
metal oxide
portions
oxide
Prior art date
Application number
KR1020037010332A
Other languages
English (en)
Other versions
KR20030086994A (ko
Inventor
안키에와이
게유직조셉이
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20030086994A publication Critical patent/KR20030086994A/ko
Application granted granted Critical
Publication of KR100754757B1 publication Critical patent/KR100754757B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)

Abstract

집적 회로들에서 다층 상호접속 구조들을 확립하기 위해 얇은 금속 산화물막(220)을 시드층으로서 사용하여 집적 회로들을 제조하는 방법이 제공된다. 얇은 층의 금속 산화물막(220)은 웨이퍼(210) 상에 침착되고, 금속 라인 패턴(215)에 대응하는 패턴으로 금속 산화물막(220)을 노출하기 위해 표준 광학 리소그래피(standard optical lithography)가 사용된다. 금속 산화물막(220)은 금속층(240)으로 변환되고, 금속막(250)은 변환된 산화물막(260) 상에 선택적인 CVD 또는 무전해 도금(electroless plating)에 의해 침착될 수 있다. 그 다음, 비아 홀들(280)이 유사한 공정에서 비아 홀 리소그래피를 사용하여 제조된다. 상기 공정은 소망의 다층 구조가 제조될 때까지 계속된다.
비아 홀 리소그래피, 산화물막, 시드층, 화학 기계적 연마, 포토레지스트층

Description

초대규모 집적 회로를 위한 다층 구리 상호접속 방법{Method for multilevel copper interconnects for ultra large scale integration}
본 발명은 집적 회로의 제조에 관한 것이다. 특히, 본 발명은 집적 회로에서의 다층 상호접속 구조들을 확립하기 위해 얇은 구리 산화물 막을 시드층(seed layer)으로서 이용하는 것에 관한 것이다.
집적 회로들(IC)의 소형화의 급속한 발달은 지금까지 증가하는 성능에서의 보다 조밀하고 보다 미세하게 피치된 칩들을 야기한다. 진보한 IC들의 성능을 향상시키기 위하여, 상호접속 시스템들은 서서히 알루미늄 박막들로부터 구리 박막들로 옮겨가고 있다. 전통적으로 사용되는 재료인 알루미늄과 비교해서, 구리는 집적 회로 성능을 향상시키는데 있어서 중요한 보다 많은 이점들을 갖는다. 첫째, 구리는 알루미늄보다 훨씬 낮은 시트 저항(sheet resistivity)을 갖는다. 따라서, 같은 전류량을 운반하는데 있어서, 구리 라인은 알루미늄 라인보다 더 좁고 더 얇게 만들어질 수 있다. 그러므로, 구리 라인의 사용은 보다 높은 집적 밀도를 고려하게 한다. 또한, 보다 좁고 보다 얇은 도전 라인들은 레벨간 및 라인간 용량 양쪽을 감소시키고, 이는 회로에 대하여 보다 고속이고 보다 적은 방출을 야기시킨다. 마지막으로, 구리는 알루미늄보다 양호한 전자이동 저항(electromigration resistance)을 갖는다. 따라서, 금속 라인들이 보다 얇게 만들어지고, 회로가 보다 조밀하게 패키징되어 있기 때문에, 구리는 IC들에 사용될 때 보다 높은 신뢰성을 제공한다.
구리 상호접속들을 제조하기 위해 제안된 여러 방법들 중에서, 가장 유망한 방법은 다마신 공정(Damascene process)이라고 생각된다. 이 방법을 이용할 때에, 트렌치들 및 비아들은 블랭킷 유전체들(blanket dielectrics)에서 형성되고, 이어서 금속은 불필요한 표면 금속을 제거하기 위해 화학 기계적 연마(CMP; chemical mechanical planarization)에 따르는 하나의 단계에서 트렌치들 및 홀들에 침착된다. 이로 인해 트렌치들 및 홀들 내의 소망의 금속과, 후속 처리를 위해 평탄화된 표면이 남겨진다.
그러나, 특히 비아들을 위한 전술한 CMP 공정 동안에, 침착된 구리의 99% 이상이 제거된다. 구리 단독의 관점에서, 이것은 매우 비경제적이고 고비용이 든다. 게다가, 패드들 및 슬러리들(slurrys)과 같은 소비재들을 제조하는 것은 CMP 공정 동안에 과도하게 소모된다. 이 부산물 제조의 처리는 보다 실행가능한 방법을 보상하기 위해 충분한 환경적인 관심이다. 따라서, CMP 없이도 구리 금속화를 달성하는 것이 가장 바람직하다. 무전해 도금(electroless plating) 또는 화학 기상 침착(CVD; chemical vaporization deposition)에 의한 선택적인 구리 침착은 "CMP 없는(CMP-less)" 금속화 기술을 제공한다. 예를 들어, 무전해 선택적으로 침착된 구리를 사용하여 다층 상호접속 구조들을 제조하는 하나의 이러한 방법은, 이전에 동시 계류중인 출원 "ULSI를 위한 선택적인 무전해 도금 다층 구리 금속화(A SELECTIVE ELECTROLESS-PLATED MULTILAYER COPPER METALLIZATION FOR ULSI)" 제목의 마이크론 도켓 제99-0715호에 기술되어 있으며, 이는 참조로서 본원에 합체된다. 그 방법에서, Pd 또는 Cu의 매우 얇은 막은 3 내지 10 nm의 두께 범위에서 "섬모양의 구조(island structure)" 또는 가까스로 연속적인 박막을 형성한다.
본 발명의 한 양상에서, 금속 라인들 및 비아 홀들을 포함하는 다층 구리 상호접속들은 웨이퍼 상에 제조된다. 처음에, 구리 산화물의 얇은 시드층이 웨이퍼 상에 침착된다. 표준 광학 리소그래피(standard optical lithography)에 의해 금속 라인 패턴을 규정한 후, 노출된 구리 산화물은 자외선 포토 감소법(ultra-violet photo reduction method)을 사용하여 구리로 변환된다. 그 후, 구리막은 무전해 도금 또는 화학 기상 침착(CVD)을 사용하여 침착되고, 그에 의해 평면 표면이 제공된다. 다음 단계에서, 비아 홀들은 비아 홀 리소그래피(via hole lithography)와 같은 전형적인 방법들을 사용하여 제조되고, 구리 산화물의 제 2 층은 제 1 층과 유사한 방법으로 침착된다. 제 1 구리층과 같이, 평면 표면이 후속 층들을 위해 제공된다.
결과로서, 바람직한 많은 금속층들을 갖는 다층 상호접속 구조들은 CMP를 필요로 하지 않고도 상기 공정을 반복함으로써 제조될 수 있다. 시드층으로서 구리를 대신하여 구리 산화물을 사용하는 것의 한가지 중요한 이점으로는 IC들에 있어서의 잠재적으로 높은 제조 수율이다. 순수 구리 시드층이 사용되면, 천연 구리 산화물은 얼마나 웨이퍼가 공기에 노출되는지에 근거하여 웨이퍼의 표면 상에 형성될 수 있다. 구리 산화물을 제거하는 부가의 단계를 실행하지 않는다면 재현성이 문제가 된다.
도 1 내지 도 5는 초대규모 집적회로를 위한 다층 금속 상호접속들을 제조하는 예시적인 방법을 도시하는 단면도.
도 6은 본 발명의 대안적인 실시예의 단면도.
본 발명의 예시적인 방법에 따라서, 초대규모 집적회로(ULSI)를 위해 다층 구리 상호접속들을 제조하는 방법이 설명된다. 소망의 다층 상호접속 구조를 제조하기 위하여 얇은 구리 산화물 막을 시드층으로서 사용하는 것이 제공된다. 이전에 구리 산화물을 시드층으로서 사용하는 무전해로 침착된 구리 라인들의 제조는, 공동 양도된 특허출원 "도전성 재료 패터닝 방법(CONDUCTIVE MATERIAL PATTERNING METHODS)" 제목의 마이크론 도켓 제99-0671호 및 "집적된 상호접속들로부터 구리 산화물의 제거(REMOVAL OF COPPER OXIDES FROM INTEGRATED INTERCONNECTS)" 제목의 미국 특허출원 제09/484,683호에 기술되어 있으며, 이는 참조로서 본원에 합체된다.
본 발명의 개념들을 제한하는 것이 아니라 단지 예시하고 가르치기 위하여 제공되는 실시예들은, 본 기술분야의 숙련된 자들이 본 발명을 이행하거나 실행하는데 충분히 상세하게 도시되고 기재되어 있다. 따라서, 본 발명의 불명료함을 회피하기 위해 적절한 데에, 명세서는 본 기술분야의 숙련된 자들에게 알려진 어떤 정보를 생략할 수도 있다.
도 1 내지 도 5는 USLI를 위해 다층 상호접속들을 제조하는 예시적인 방법을 집합적으로 연속하여 설명하는 다수의 단면도들을 도시한다. 도 1에 도시된 바와 같이, 상기 방법은 반도체 웨이퍼(210)를 사용하여 시작한다. 반도체 웨이퍼(210)가 제조의 임의의 스테이지에 있을 수도 있다는 것을 이해할 수 있다. 10 내지 30 nm의 두께 범위에서, 구리 산화물의 얇은 층(220)은 반도체 웨이퍼(210)의 표면 상에 침착된다. 구리 및 구리 산화물이 다층 상호접속들을 제조하기 위하여 본원에 개시되어 있지만, 백금 및 팔라듐과 같은 다른 금속들과 그 산화물들이, 예컨대 개시된 발명에서 사용하는데 매우 적합하다는 것을 이해할 수 있다.
예시적인 실시예에서, CVD, 이온화된 마그네트론 스퍼터링 기술, DC 마그네트론 자기 스퍼터링 기술(DC magnetron self-supttering technique), 플라즈마 증기, 플라즈마 CVD, 금속유기의 마이크로파 플라즈마 CVD, 구리 디피발로이메탄테(copper dipivaloymethanate)로부터의 CVD 및 펄스 레이저 침착을 포함하지만, 그에 제한되지 않은 여러 가지의 기술들이 구리 산화물층(220)을 침착하는데 사용될 수 있다.
예를 들어, V.F.Drobny 등이 얇은 고체막(Thin Solid Films), Vol.61, No.1, 89 내지 98(1979)에 보고한 바와 따르면, 어떤 특성들의 범위를 갖는 얇은 구리 산화물 막들을 생성하는 산소 아르곤 혼합물들에서의 반응적인 스퍼터링은 방전내의 산소의 부분적인 압력을 변경함으로써 제어되며, 이는 참조로서 본원에 합체된다. 더 근래에, A.Parretta 등이 참조로서 본원에 합체되는 "물질 상태 고체 A(Physica Status Solidi A)", Vol.155, No.2, 399 내지 404(1996)에 보고한 바에 의하면, 반응적인 RF 마그네트론 스퍼터링에 의해 형성된 구리 산화물 막들의 전기 및 광학 특성들을 검토하여, 단일 현상 Cu2O 및 CuO가 산소의 부분 압력을 제어함으로써 얻어질 수 있다고 결론내려 있다. Parretta는 Cu2O 막들의 전형적인 저항력이 순수 구리보다 더 높은 크기의 적어도 6 차수인 43 옴 cm였음을 발견하였다.
다른 기술에서, K.Santra 등이 참조로서 본원에 합체되는 "얇은 고체막들(Thin Solid Fims)", Vol.213, No.2, 226 내지 9(1992)에 보고한 바에 따르면, 구리 산화물 막들은 일정한 산소 압력의 면전에서 플라즈마 방법을 통해 금속의 구리를 증발시킴으로서 기판들 상에 침착된다. "침착된 것만큼" 제 1 구리의 산화물은 위상이 어닐링 후 제 2 구리의 산화물로 변경된다. 또한, H.Holzsuch 등이 본원에 참조로서 합체되는 "응용 물질 A(Applied Physics A)", Vol.A51, No.6, 486 내지 90(1990)에 보고한 바에 따르면, 구리 산화물 막들은 전구체(precursor)로서 아세틸아세톤 구리를 사용한 CVD에 의해 침착된다. Holzsuch는 기판의 온도의 증가가 Cu2O + CuO 로부터 Cu 까지 침착의 위상들을 변경한다는 것을 발견하였다. 500℃보다 더 큰 온도에서, 침착 비율들은 높았지만, 막들은 주로 금속의 구리였다. 또한, B.Wisniesky 등이 본원에 참조로서 합체되는 "Journal de Physique", Vol.1, No.C2, 389 내지 95(1991)에 소개한 바에 따르면, 혁신적인 기술로서 마이크로파 CVD는 아세틸아세톤 구리의 휘발성 금속유기의 전도체를 사용한 구리의 서로 다른 발란스 상태(valance state)들의 저온에서의 직접 형성을 허용한다. 그러나, 마이크로파 전력, 기판 온도, 및 가스 산화체의 혼합, N2O 또는 O와 같은 공정 파라미터들의 분별있는 선택이 금속의 구리, Cu2O 또는 CuO의 형성을 허용한다는 것에 주목한다.
T.Mruyama가 참조로서 본원에 합체되는 "태양 에너지 물질들 및 태양 전지들(Solar Energy Materials and Solar Cells)", Vol.56, No.1, 85 내지 92(1998)에 최근에 보고한 다른 방법에 따르면, 다결정 구리 산화물 박막들은 대기압력 CVD 방법에 의해 산소 및 구리 디피발로이메탄테(copper dipivaloymethanate)로부터 형성되었다. 더 근래에, Y.Matsuura 등이 참조로서 본원에 합체되는 "응용 광학(Applied Optics)", vol.38, No.9, 1700 내지 3(1999)에 보고한 바와 따르면, 구리 산화물의 유전체막은 CVD 공정에서 전구체로서 금속 아테틸아세톤네이트를 사용함으로써 Ag 코팅된 유리 모세관의 내부에 침착된다. 구리 산화물 침착은 화학과 열에 대해서 매우 큰 내성이 있음이 발견되었다.
마지막으로, 구리 산화물을 침착하는 2개의 다른 방법들이 또한 참조로서 본원에 합체된다. 최근에 M.Shurr 등이 "얇은 고체막들(Thin Solid Films)", Vol.342, No.1-2, 266 내지 9(1999)에 보고한 바에 따르면, CuO의 초박막들은 유기의 전구체를 사용하는 랭뮤어 블로젯(L-B; Langmuir-blodgett) 다층막들로부터 형성되고, 여기서 L-B 다층막들은 Cu-아라크히데이트(Cu-arachidate)로 이루어지며, 유기 소자들은 써모 이탈(thermo-desorption) 또는 UV 이탈 중 어느 하나에 의해 제거된다. 구리산화물막들을 성장시키는 다른 기술은 R.Leuchtner 등에 의해 "에피택셜 산화물 박막 Ⅱ(Epitaxial Oxide Thin Films Ⅱ"), Materials Research Society Symposium Proceedings, Vol.401, 551 내지 56(1995)에 보고된다. 여기서, 구리 산화물 막들은 구리 금속 또는 구리 산화물 타켓 중 어느 하나로 펄스 레이저 침착(PLD; pulsed laser deposition)을 사용하여 성장된다.
도 2를 참조하면, 구리 산화물의 얇은 층(220)을 상기 개략한 웨이퍼(210) 상에 침착한 후, 금속 라인 패턴(215)은 제 1 포토레지스트층(230)을 이용하여 표준 광학 리소그래픽에 의해 규정된다. 포토레지스트층(230)의 두께는 금속 라인(215)의 두께와 매칭하도록 조심스럽게 선택된다. 도 3을 참조하면, 노출된 구리 산화물(220)은 예를 들어, 본원에 참조로서 합체되는, 동시 계류중이고 공동 양도된 특허출원 "도전 재료 패터닝 방법(CONDUCTIVE MATERIAL PATTERNING METHODS)" 제목의 마이크론 도켓 제99-0671호에 기재된 방법을 포함한, 전통적인 또는 이후 개발된 공정들에 따른 UV 포토 감소에 의해 원 위치에서 구리층(240)으로 변경된다. 구리막(250)은 선택적인 CVD 또는 무전해 도금 중 어느 하나에 의해 소망의 두께로 선택적으로 침착된다. 이들 단계 후, 평면 표면(255)은 CMP 없는 연속하는 단계들을 위해 제공된다.
도 4에 도시된 바와 같이, 비아 홀(280)이 또한 제조될 수 있다. 구리 산화물의 제 2 시드층(260)은, 상술된 바와 같이, 구리 산화물의 제 1 층(220)을 침착하는 것과 같은 방법으로 제 1 포토레지스트층(230) 및 구리층(250) 상에 침착된다. 그 다음, 비아 홀 리소그래피는 비아(280)의 길이에 대응하는 두께를 갖는 포토레지스트층(270)을 사용하여 실행된다. 도 5를 참조하면, 노출된 구리 산화물(290)은, 상술한 바와 같이, UV 포토 감소에 의해 원 위치에서 구리로 변환된다. 구리막(295)은 선택적인 CVD 또는 무전해 도금 중 어느 하나에 의해 소망의 두께로 선택적으로 침착된다. 다시, 제 2 포토레지스트층(270)의 두께는 비아(280)의 두께와 매치하도록 조심스럽게 선택된다. 전과 같이, 이들 단계 후, 평면 표면(297)이 CMP 없는 연속하는 단계들을 위해 제공된다.
소정의 순서로 전수한 공정을 반복함으로써, 기재된 바와 같이 많은 층들이 다층 상호접속 구조들을 개발하기 이해 제조될 수 있다.
도 6에 도시되는 대안의 실시예들에서, 전술한 공정은 구리 산화물층(220) 및 제 1 포토레지스트층(230) 사이의 절연층(300)과 조합될 수 있다. 절연층(300)은 SiO2 또는 상기 개요의 제 1 포토 감소 단계 동안 UV 광을 전송하지 않는 등가 재료로 구성될 수 있다.
고성능 패키지의 응용에 있어서, 전술한 단계들 이후, 사용된 포토레지스트층들(230, 270)은 저유전 상수 절연층으로서 남겨지거나 사용될 수 있다. 또한, 저항이 높고 그리하여 그들 속에 거의 절연하는 구리 산화물의 시드층들(220, 260)은, 10 미크론보다 더 큰 라인 간격이라고 추측되는 라인들 사이의 전류 누설이 신호들보다 현저하게 더 낮을 수 있기 때문에 제자리에 남겨질 수 있다.
ULSI 칩들의 응용에 있어서, 사용된 포토레지스트층들은 산소 플라즈마 애싱(oxygen plasa ashing)에 의해 제거되고, 사용된 구리 산화물 시드층들(220, 250)은 상기 Wisiniewsky 등에 기술된 바와 같이 에칭에 의해 제거된다. 이런 방법의 채용은, 동시 계류중이고 공동 양도된 특허출원 "집적 회로들에서 구리 재선을 제조하는 방법 및 장치(METHODS AND APPARATUS FOR MAKING A COPPER WIRING IN INTEGRATED CIRCUITS)" 제목의 미국 특허출원 제09/484,303호에 기재된 재료들 및 방법들로 패시베이션될 수 있는 완성된 에어-브리지 구조(air-bridge structure)를 남기게 되며, 이는 본원에 참조로서 합체된다. 원한다면, 에어 공간은 하나의 작동에서 적당한 유전체층으로 채워질 수 있다.
상술한 예시적인 방법에서, 지지되지 않는 긴 라인들이 없도록 IC칩들이 설계될 거라고 추측한다. 공정동안 그의 중량으로 인해 훠어질 수 있는 더 긴 라인들을 위해, 미국특허 제5,891,797호에 기술된 절차가 고려되어야 하며, 이는 본원에 참조로서 합체된다. 넓은 라인들이 몇 개의 가까운 최소의 폭과 간격의 라인들로 분해되기 때문에 배선의 상위 레벨들 위의 구리배선 라인들의 폭을 제한하고, 이것은 하위 레벨들 위의 포토레지스트들의 제거를 가능하게 하고, 에어-브리지 구조를 개설하도록 구조에서 충분한 공간들을 확보할 수 있다.
요약하면, 개시한 것은 구리 산화물 시드층들을 갖는 선택적인 구리의 침착을 이용하여 다층 구리 상호접속들 구조들을 제조하는 신규의 방법이다. CMP의 많은 소모적인 단계들이 제거되고, 단순화되며, 확고한 제조 단계들이 고 성능 패키징 및 USLI 칩들을 위해 도입된다.
본원에 본 발명의 다양한 실시예들을 자세히 설명하고 기술하였지만, 본 발명의 정신으로부터 벗어나지 않고 그 안에 또한 그에 수정 및 부가할 수 있으며, 이는 본 발명의 정신과 첨부된 청구항의 범위내에 포함되는 것이 자명하다.

Claims (27)

  1. 반도체 칩 제조시, 다층 상호접속들을 제조하는 방법에 있어서:
    반도체 웨이퍼 상에 금속 산화물층을 침착하는 단계와;
    상기 금속 산화물층의 하나 이상의 부분들을, 상기 금속 산화물의 적어도 다른 부분들을 남겨둔 채 하나 이상의 금속 부분들로 변환하는 단계와;
    상기 금속 산화물층의 상기 하나 이상의 변환된 부분 및 변환되지 않은 부분 상에 금속층을 침착하는 단계를 포함하는, 다층 상호접속들 제조 방법.
  2. 제 1 항에 있어서,
    상기 금속 산화물층과 상기 침착된 금속층 사이에 절연층을 제공하는 단계를 더 포함하는, 다층 상호접속들 제조 방법.
  3. 제 1 항에 있어서,
    상기 금속은 구리이고, 상기 금속 산화물은 구리 산화물인, 다층 상호접속들 제조 방법.
  4. 제 1 항에 있어서,
    상기 금속은 백금이고, 상기 금속 산화물은 백금 산화물인, 다층 상호접속들 제조 방법.
  5. 제 1 항에 있어서,
    상기 금속은 팔라듐이고, 상기 금속 산화물은 팔라듐 산화물인, 다층 상호접속들 제조 방법.
  6. 반도체 칩 제조시, 다층 상호접속들을 제조하는 방법에 있어서:
    반도체 웨이퍼 상에 제 1 금속 산화물층을 침착하는 단계와;
    상기 제 1 금속 산화물층의 하나 이상의 부분들을, 상기 제 1 금속 산화물층의 적어도 다른 부분들을 남겨둔 채 하나 이상의 금속 부분들로 변환하는 단계와;
    상기 제 1 금속 산화물층의 상기 하나 이상의 변환된 부분 및 변환되지 않은 부분 상에 제 1 금속층을 침착하는 단계와;
    상기 제 1 금속층 상에 제 2 금속 산화물층을 침착하는 단계와;
    상기 제 2 금속 산화물층의 하나 이상의 부분들을, 상기 제 2 금속 산화물층의 적어도 다른 부분들을 남겨둔 채 하나 이상의 금속 부분들로 변환하는 단계와;
    상기 제 2 금속 산화물층의 상기 하나 이상의 변환된 부분 및 변환되지 않은 부분 상에 제 2 금속층을 침착하는 단계를 포함하는, 다층 상호접속들 제조 방법.
  7. 제 6 항에 있어서,
    상기 금속은 구리이고, 상기 금속 산화물은 구리 산화물인, 다층 상호접속들 제조 방법.
  8. 제 6 항에 있어서,
    상기 금속은 백금이고, 상기 금속 산화물은 백금 산화물인, 다층 상호접속들 제조 방법.
  9. 제 6 항에 있어서,
    상기 금속은 팔라듐이고, 상기 금속 산화물은 팔라듐 산화물인, 다층 상호접속들 제조 방법.
  10. 제 6 항에 있어서,
    상기 제 1 금속 산화물층과 상기 침착된 금속층 사이에 절연층을 제공하는 단계를 더 포함하는, 다층 상호접속들 제조 방법.
  11. 반도체 칩 제조시, 다층 상호접속들을 제조하는 방법에 있어서:
    반도체 웨이퍼 상에 제 1 금속 산화물층을 침착하는 단계와;
    상기 제 1 금속 산화물층의 하나 이상의 부분들을, 상기 제 1 금속 산화물층의 적어도 다른 부분들을 남겨둔 채 하나 이상의 금속 부분들로 변환하는 단계와;
    상기 제 1 금속 산화물층의 상기 하나 이상의 변환된 부분 및 변환되지 않은 부분 상에 제 1 금속층을 침착하는 단계와;
    상기 제 1 금속층 상에 제 2 금속 산화물층을 침착하는 단계와;
    상기 제 2 금속 산화물층의 하나 이상의 부분들을, 상기 제 2 금속 산화물층의 적어도 다른 부분들을 남겨둔 채 하나 이상의 금속 부분들로 변환하는 단계와;
    상기 제 2 금속 산화물층의 상기 하나 이상의 변환된 부분 및 변환되지 않은 부분 상에 제 2 금속층을 침착하는 단계와;
    상기 제 2 금속층 상에 제 3 금속 산화물층을 침착하는 단계와;
    상기 제 3 금속 산화물층의 하나 이상의 부분들을, 상기 제 3 금속 산화물층의 적어도 다른 부분들을 남겨둔 채 하나 이상의 금속 부분들로 변환하는 단계와;
    상기 제 3 금속 산화물층의 상기 하나 이상의 변환된 부분 및 변환되지 않은 부분 상에 제 3 금속층을 침착하는 단계를 포함하는, 다층 상호접속들 제조 방법.
  12. 제 11 항에 있어서,
    상기 금속은 구리이고, 상기 금속 산화물은 구리 산화물인, 다층 상호접속들 제조 방법.
  13. 제 11 항에 있어서,
    상기 금속은 백금이고, 상기 금속 산화물은 백금 산화물인, 다층 상호접속들 제조 방법.
  14. 제 11 항에 있어서,
    상기 금속은 팔라듐이고, 상기 금속 산화물은 팔라듐 산화물인, 다층 상호접속들 제조 방법.
  15. 제 11 항에 있어서,
    상기 제 1 금속 산화물층과 상기 침착된 제 1 금속층 사이에 절연층을 제공하는 단계를 더 포함하는, 다층 상호접속들 제조 방법.
  16. 다층화된 집적 회로에 있어서:
    적어도 하나 이상의 집적 소자들과;
    상기 하나 이상의 집적 소자들 각각과 접촉하고, 상기 소자 상에 금속 산화물층을 침착함으로써 형성되는 금속 산화물의 제 1 절연층과;
    상기 금속 산화물층의 하나 이상의 부분들을, 상기 금속 산화물의 적어도 다른 부분들을 남겨둔 채 하나 이상의 변환된 금속 부분들로 변환하고, 그 위에 금속층을 침착함으로써 형성되는 금속층을 포함하는, 다층화된 집적 회로.
  17. 제 16 항에 있어서,
    상기 금속은 구리이고, 상기 금속 산화물은 구리 산화물인, 다층화된 집적 회로.
  18. 제 16 항에 있어서,
    상기 금속은 백금이고, 상기 금속 산화물은 백금 산화물인, 다층화된 집적 회로.
  19. 제 16 항에 있어서,
    상기 금속은 팔라듐이고, 상기 금속 산화물은 팔라듐 산화물인, 다층화된 집적 회로.
  20. 다층화된 집적 회로에 있어서:
    적어도 하나 이상의 집적 소자들과;
    상기 하나 이상의 집적 소자들 각각과 접촉하고, 상기 소자 상에 금속 산화물을 침착함으로써 형성되는 금속 산화물의 제 1 절연층과;
    상기 금속 산화물층의 하나 이상의 부분들을, 상기 금속 산화물의 적어도 다른 부분들을 남겨둔 채 하나 이상의 변환된 금속 부분들로 변환하고, 그 위에 금속층을 침착함으로써 형성되는 제 1 금속층과;
    상기 제 1 금속층 각각과 접촉하고, 상기 제 1 금속층 상에 제 2 금속 산화물층을 침착함으로써 형성되는 금속 산화물의 제 2 절연층과;
    상기 제 2 금속 산화물층의 하나 이상의 부분들을, 상기 금속 산화물의 적어도 다른 부분들을 남겨둔 채 하나 이상의 변환된 금속 부분들로 변환하고, 그 위에 금속층을 침착함으로써 형성되는 제 2 금속층을 포함하는, 다층화된 집적 회로.
  21. 제 20 항에 있어서,
    상기 금속은 구리이고, 상기 금속 산화물은 구리 산화물인, 다층화된 집적 회로.
  22. 제 20 항에 있어서,
    상기 금속은 백금이고, 상기 금속 산화물은 백금 산화물인, 다층화된 집적 회로.
  23. 제 20 항에 있어서,
    상기 금속은 팔라듐이고, 상기 금속 산화물은 팔라듐 산화물인, 다층화된 집적 회로.
  24. 다층화된 집적 회로에 있어서:
    적어도 하나 이상의 집적 소자들과;
    상기 하나 이상의 집적 소자들 각각과 접촉하고, 상기 소자 상에 금속 산화물층을 침착함으로써 형성되는 금속 산화물의 제 1 절연층과;
    상기 금속 산화물층의 하나 이상의 부분들을, 상기 금속 산화물의 적어도 다른 부분들을 남겨둔 채 하나 이상의 변환된 금속 부분들로 변환하고, 그 위에 금속층을 침착함으로써 형성되는 제 1 금속층과;
    상기 제 1 금속층 각각과 접촉하고, 상기 제 1 금속층 상에 제 2 금속 산화물층을 침착함으로써 형성되는 금속 산화물의 제 2 절연층과;
    상기 제 2 금속 산화물층의 하나 이상의 부분들을, 상기 금속 산화물의 적어도 다른 부분들을 남겨둔 채 하나 이상의 변환된 금속 부분들로 변환하고, 그 위에 금속층을 침착함으로써 형성되는 제 2 금속층과;
    상기 제 2 금속층 각각과 접촉하고, 상기 제 2 금속층 상에 제 3 금속 산화물층을 침착함으로써 형성되는 금속 산화물의 제 3 절연층과;
    상기 제 3 금속 산화물층의 하나 이상의 부분들을, 상기 금속 산화물의 적어도 다른 부분들을 남겨둔 채 하나 이상의 변환된 금속 부분들로 변환하고, 그 위에 금속층을 침착함으로써 형성되는 제 3 금속층을 포함하는, 다층화된 집적 회로.
  25. 제 24 항에 있어서,
    상기 금속은 구리이고, 상기 금속 산화물은 구리 산화물인, 다층화된 집적 회로.
  26. 제 24 항에 있어서,
    상기 금속은 백금이고, 상기 금속 산화물은 백금 산화물인, 다층화된 집적 회로.
  27. 제 24 항에 있어서,
    상기 금속은 팔라듐이고, 상기 금속 산화물은 팔라듐 산화물인, 다층화된 집적 회로.
KR1020037010332A 2001-02-05 2002-02-04 초대규모 집적 회로를 위한 다층 구리 상호접속 방법 KR100754757B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/777,816 2001-02-05
US09/777,816 US6451685B1 (en) 2001-02-05 2001-02-05 Method for multilevel copper interconnects for ultra large scale integration
PCT/US2002/003250 WO2002063672A1 (en) 2001-02-05 2002-02-04 Method for multilevel copper interconnects for ultra large scale integration

Publications (2)

Publication Number Publication Date
KR20030086994A KR20030086994A (ko) 2003-11-12
KR100754757B1 true KR100754757B1 (ko) 2007-09-04

Family

ID=25111370

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037010332A KR100754757B1 (ko) 2001-02-05 2002-02-04 초대규모 집적 회로를 위한 다층 구리 상호접속 방법

Country Status (6)

Country Link
US (2) US6451685B1 (ko)
EP (1) EP1366513A4 (ko)
JP (1) JP2004524684A (ko)
KR (1) KR100754757B1 (ko)
CN (1) CN1280882C (ko)
WO (1) WO2002063672A1 (ko)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936531B2 (en) 1998-12-21 2005-08-30 Megic Corporation Process of fabricating a chip structure
US7035880B1 (en) 1999-07-14 2006-04-25 Commvault Systems, Inc. Modular backup and retrieval system used in conjunction with a storage area network
US7389311B1 (en) 1999-07-15 2008-06-17 Commvault Systems, Inc. Modular backup and retrieval system
US7395282B1 (en) 1999-07-15 2008-07-01 Commvault Systems, Inc. Hierarchical backup and retrieval system
US6658436B2 (en) 2000-01-31 2003-12-02 Commvault Systems, Inc. Logical view and access to data managed by a modular data and storage management system
US7155481B2 (en) 2000-01-31 2006-12-26 Commvault Systems, Inc. Email attachment management in a computer system
US7003641B2 (en) 2000-01-31 2006-02-21 Commvault Systems, Inc. Logical view with granular access to exchange data managed by a modular data and storage management system
US7434219B2 (en) 2000-01-31 2008-10-07 Commvault Systems, Inc. Storage of application specific profiles correlating to document versions
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6602653B1 (en) 2000-08-25 2003-08-05 Micron Technology, Inc. Conductive material patterning methods
KR100390918B1 (ko) * 2001-08-30 2003-07-12 주식회사 하이닉스반도체 반도체 메모리 소자의 제조방법
EP1442387A4 (en) 2001-09-28 2008-01-23 Commvault Systems Inc SYSTEM AND METHOD FOR ARCHIVING OBJECTS IN A INFORMATION STORAGE
US7932603B2 (en) 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
US6905979B2 (en) * 2002-12-23 2005-06-14 Intel Corporation Apparatus and method for improving AC coupling on circuit boards
US7454569B2 (en) 2003-06-25 2008-11-18 Commvault Systems, Inc. Hierarchical system and method for performing storage operations in a computer network
WO2005050381A2 (en) 2003-11-13 2005-06-02 Commvault Systems, Inc. Systems and methods for performing storage operations using network attached storage
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20060009038A1 (en) 2004-07-12 2006-01-12 International Business Machines Corporation Processing for overcoming extreme topography
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7867889B2 (en) * 2004-12-01 2011-01-11 Nxp B.V. Method of forming an interconnect structure on an integrated circuit die
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US8188599B2 (en) * 2006-02-28 2012-05-29 Advanced Interconnect Materials, Llc Semiconductor device, its manufacturing method, and sputtering target material for use in the method
US8952612B1 (en) 2006-09-15 2015-02-10 Imaging Systems Technology, Inc. Microdischarge display with fluorescent conversion material
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7734669B2 (en) 2006-12-22 2010-06-08 Commvault Systems, Inc. Managing copies of data
US8719809B2 (en) 2006-12-22 2014-05-06 Commvault Systems, Inc. Point in time rollback and un-installation of software
CN101842856B (zh) * 2007-08-31 2013-10-09 埃托特克德国有限公司 处理表面以促进感兴趣的分子结合的方法、由其形成的涂层和器件
US20090056994A1 (en) * 2007-08-31 2009-03-05 Kuhr Werner G Methods of Treating a Surface to Promote Metal Plating and Devices Formed
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US8396838B2 (en) 2007-10-17 2013-03-12 Commvault Systems, Inc. Legal compliance, electronic discovery and electronic document handling of online and offline copies of data
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8769048B2 (en) 2008-06-18 2014-07-01 Commvault Systems, Inc. Data protection scheduling, such as providing a flexible backup window in a data protection system
US8352954B2 (en) 2008-06-19 2013-01-08 Commvault Systems, Inc. Data storage resource allocation by employing dynamic methods and blacklisting resource request pools
US9128883B2 (en) 2008-06-19 2015-09-08 Commvault Systems, Inc Data storage resource allocation by performing abbreviated resource checks based on relative chances of failure of the data storage resources to determine whether data storage requests would fail
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8725688B2 (en) 2008-09-05 2014-05-13 Commvault Systems, Inc. Image level copy or restore, such as image level restore without knowledge of data object metadata
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100070474A1 (en) 2008-09-12 2010-03-18 Lad Kamleshkumar K Transferring or migrating portions of data objects, such as block-level data migration or chunk-based data migration
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8609526B2 (en) * 2009-10-20 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing UBM oxidation in bump formation processes
JP5946827B2 (ja) 2010-07-06 2016-07-06 イーサイオニック コーポレーション プリント配線板を製造する方法
KR101702645B1 (ko) 2010-08-18 2017-02-06 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
US9021198B1 (en) 2011-01-20 2015-04-28 Commvault Systems, Inc. System and method for sharing SAN storage
US8849762B2 (en) 2011-03-31 2014-09-30 Commvault Systems, Inc. Restoring computing environments, such as autorecovery of file systems at certain points in time
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US10157184B2 (en) 2012-03-30 2018-12-18 Commvault Systems, Inc. Data previewing before recalling large data files
US9633216B2 (en) 2012-12-27 2017-04-25 Commvault Systems, Inc. Application of information management policies based on operation with a geographic entity
US9459968B2 (en) 2013-03-11 2016-10-04 Commvault Systems, Inc. Single index to query multiple backup formats
US10169121B2 (en) 2014-02-27 2019-01-01 Commvault Systems, Inc. Work flow management for an information management system
US9648100B2 (en) 2014-03-05 2017-05-09 Commvault Systems, Inc. Cross-system storage management for transferring data across autonomous information management systems
EP3122918A4 (en) * 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
US9823978B2 (en) 2014-04-16 2017-11-21 Commvault Systems, Inc. User-level quota management of data objects stored in information management systems
US9740574B2 (en) 2014-05-09 2017-08-22 Commvault Systems, Inc. Load balancing across multiple data paths
CN105304157B (zh) * 2014-06-25 2017-06-23 上海量子绘景电子股份有限公司 具有导电铜网络的透明导电薄膜及其制备方法
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US9444811B2 (en) 2014-10-21 2016-09-13 Commvault Systems, Inc. Using an enhanced data agent to restore backed up data across autonomous storage management systems
CN105702630B (zh) * 2014-11-26 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10754729B2 (en) 2018-03-12 2020-08-25 Commvault Systems, Inc. Recovery point objective (RPO) driven backup scheduling in a data storage management system
US10860443B2 (en) 2018-12-10 2020-12-08 Commvault Systems, Inc. Evaluation and reporting of recovery readiness in a data storage management system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333248B1 (en) 1999-11-11 2001-12-25 Nec Corporation Method of fabricating a semiconductor device

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634203A (en) * 1969-07-22 1972-01-11 Texas Instruments Inc Thin film metallization processes for microcircuits
US4352716A (en) 1980-12-24 1982-10-05 International Business Machines Corporation Dry etching of copper patterns
DE3840199C2 (de) 1988-11-29 1994-12-01 Heraeus Noblelight Gmbh Verfahren zur Strukturierung von bei der stromlosen Metallisierung katalytisch aktiven Metallschichten mittels UV-Strahlung
IL98660A (en) 1991-06-28 1996-10-16 Orbotech Ltd Method of printing an image on a substrate particularly useful for producing printed circuit boards
JP2885616B2 (ja) 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
JP2814445B2 (ja) 1992-09-16 1998-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 選択的な金の低温化学蒸着
US5459098A (en) 1992-10-19 1995-10-17 Marietta Energy Systems, Inc. Maskless laser writing of microscopic metallic interconnects
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
US5686789A (en) 1995-03-14 1997-11-11 Osram Sylvania Inc. Discharge device having cathode with micro hollow array
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
EP0843597A4 (en) 1996-06-05 1999-02-24 Univ Toledo AUTOCATALYTIC DEPOSITION OF A METAL LAYER ON AN ACTIVE SUBSTRATE
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6016027A (en) 1997-05-19 2000-01-18 The Board Of Trustees Of The University Of Illinois Microdischarge lamp
US5993679A (en) 1997-11-06 1999-11-30 Anelva Corporation Method of cleaning metallic films built up within thin film deposition apparatus
US6249055B1 (en) 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6100190A (en) * 1998-02-19 2000-08-08 Rohm Co., Ltd. Method of fabricating semiconductor device, and semiconductor device
JP2000216160A (ja) 1999-01-27 2000-08-04 Hitachi Ltd 半導体製造方法、および半導体製造装置
US6348125B1 (en) * 2000-01-17 2002-02-19 Micron Technology, Inc. Removal of copper oxides from integrated interconnects

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333248B1 (en) 1999-11-11 2001-12-25 Nec Corporation Method of fabricating a semiconductor device

Also Published As

Publication number Publication date
EP1366513A1 (en) 2003-12-03
CN1531749A (zh) 2004-09-22
US20020106890A1 (en) 2002-08-08
CN1280882C (zh) 2006-10-18
EP1366513A4 (en) 2008-04-23
US6451685B1 (en) 2002-09-17
WO2002063672A1 (en) 2002-08-15
US6593656B2 (en) 2003-07-15
US20020106884A1 (en) 2002-08-08
KR20030086994A (ko) 2003-11-12
JP2004524684A (ja) 2004-08-12

Similar Documents

Publication Publication Date Title
KR100754757B1 (ko) 초대규모 집적 회로를 위한 다층 구리 상호접속 방법
US6057226A (en) Air gap based low dielectric constant interconnect structure and method of making same
TWI402887B (zh) 用以整合具有改良可靠度之超低k介電質之結構與方法
US20070085213A1 (en) Selective electroless-plated copper metallization
KR100324209B1 (ko) 은을 이용한 인덕터 제조 방법
KR102187709B1 (ko) 커패시터를 갖는 반도체 디바이스의 구조물 및 형성 방법
US6268274B1 (en) Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
KR101334004B1 (ko) 반도체 장치 및 다층 배선 기판
US6277765B1 (en) Low-K Dielectric layer and method of making same
TWI272694B (en) Metal ion diffusion barrier layers
JP2002231723A (ja) 集積回路の製造における銅被覆のためのバリア層
JP2004200203A (ja) 半導体装置及びその製造方法
US5750439A (en) Method of making aluminum alloy wiring with less silicon nodule
US5888898A (en) HSQ baking for reduced dielectric constant
KR100387256B1 (ko) 반도체 소자의 구리 배선 형성 방법
JPH1012614A (ja) 半導体装置用配線およびその製造方法
KR101132700B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
KR100645930B1 (ko) 반도체 소자의 구리 배선 형성방법
KR20000057943A (ko) 반도체장치 및 그 제조방법
US20040155348A1 (en) Barrier structure for copper metallization and method for the manufacture thereof
KR100617046B1 (ko) 반도체 소자의 금속배선 형성방법
KR100324020B1 (ko) 반도체소자의금속배선형성방법
KR20040009789A (ko) 반도체 소자 및 그 제조 방법
KR100567539B1 (ko) 반도체 소자의 금속배선 형성방법
KR100353534B1 (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110719

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee