TW202333309A - 腔體封裝 - Google Patents

腔體封裝 Download PDF

Info

Publication number
TW202333309A
TW202333309A TW112110060A TW112110060A TW202333309A TW 202333309 A TW202333309 A TW 202333309A TW 112110060 A TW112110060 A TW 112110060A TW 112110060 A TW112110060 A TW 112110060A TW 202333309 A TW202333309 A TW 202333309A
Authority
TW
Taiwan
Prior art keywords
integrated device
antenna structure
semiconductor element
cavity
device die
Prior art date
Application number
TW112110060A
Other languages
English (en)
Other versions
TWI835598B (zh
Inventor
少武 黃
賈維爾 A 狄拉克魯茲
亮 王
拉杰詡 卡特卡
貝高森 哈巴
Original Assignee
美商艾德亞半導體接合科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商艾德亞半導體接合科技有限公司 filed Critical 美商艾德亞半導體接合科技有限公司
Publication of TW202333309A publication Critical patent/TW202333309A/zh
Application granted granted Critical
Publication of TWI835598B publication Critical patent/TWI835598B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/04Containers; Seals characterised by the shape of the container or parts, e.g. caps, walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16152Cap comprising a cavity for hosting the device, e.g. U-shaped cap
    • H01L2924/1616Cavity shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Details Of Aerials (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

本發明揭示一種整合式裝置封裝。該整合式裝置封裝可包括整合式裝置晶粒、元件、腔體及電互連。該元件可具有一天線結構。該元件可附接至該整合式裝置之表面。該腔體可安置在該整合式裝置晶粒與該天線結構之間。該電互連可連接該整合式裝置晶粒與該天線結構。

Description

腔體封裝
該領域通常係關於具有腔體之整合式裝置封裝,且特定而言係關於具有空氣腔體的射頻(RF)封裝。 相關申請案交叉參考
本申請案主張在2018年12月6日提出申請的美國非臨時專利申請案第16/212,471號及在2017年12月12日提出申請的美國臨時專利申請案第62/609,682號之名稱為「腔體封裝」的優先權,該些申請案的全部內容以引用的方式併入本文中。
如今,高效能射頻(RF)裝置為高需求。RF裝置的效能可係指其增益、頻寬、方向性等。然而,由於與在裝置中併入腔體並為腔體提供精確尺寸相關的挑戰,可能難以製造高效能RF裝置。因此,仍然需要經改良的RF裝置。
本發明的一態樣為一種整合式裝置封裝,其包含:整合式裝置晶粒;元件,其包含天線結構,該元件附接至該整合式裝置晶粒之表面;腔體,安置在該整合式裝置晶粒與該天線結構之至少一部分之間;及電互連,其連接該整合式裝置晶粒及該天線結構。
在所述態樣之整合式裝置封裝中,所述元件直接接合到所述整合式裝置晶粒而沒有中介黏合劑。
在所述態樣之整合式裝置封裝中,該元件包含矽或玻璃。
在所述態樣之整合式裝置封裝中,該電互連包含矽通孔或穿玻璃通孔。
在所述態樣之整合式裝置封裝中,該整合式裝置晶粒包含射頻(RF)電路。
在所述態樣之整合式裝置封裝中,該腔體由該元件及該整合式裝置晶粒界定。
在所述態樣之整合式裝置封裝中,該腔體由該整合式裝置晶粒之平面上表面及該元件中之凹部界定。
在所述態樣之整合式裝置封裝中,該凹部藉由蝕刻該元件之一部分而形成。
在所述態樣之整合式裝置封裝中,該腔體由該元件之平面表面及該整合式裝置晶粒中之凹部界定。
在所述態樣之整合式裝置封裝中,所述整合式裝置封裝進一步包含封裝基板,該整合式裝置晶粒安裝至該封裝基板。
在所述態樣之整合式裝置封裝中,所述整合式裝置封裝進一步包含安置在該腔體中之金屬層。
在所述態樣之整合式裝置封裝中,該元件包含接合在一起之複數個框架部分。
在所述態樣之整合式裝置封裝中,該電互連直接且電連接至該裝置晶粒。
在所述態樣之整合式裝置封裝中,該腔體以空氣填充。
在所述態樣之整合式裝置封裝中,所述整合式裝置封裝進一步包含至少部分地安置在該腔體中之諧振器。
在所述態樣之整合式裝置封裝中,該腔體包含第一壁,該第一壁具有指示蝕刻過程之壁表面。
在所述態樣之整合式裝置封裝中,該腔體包含第一壁及第二壁,該第一壁及該第二壁包含該腔體之兩個相對側,該腔體具有自該第一壁至該第二壁之寬度,該寬度經尺寸設定從而以在24 GHz至128 GHz的範圍內之頻率接收或傳輸電磁輻射。
在所述態樣之整合式裝置封裝中,該寬度在0.5 mm至12 mm的範圍內。
在所述態樣之整合式裝置封裝中,該腔體之壁經構造使得該壁之表面粗糙度具有在0.1 μm至2 μm的範圍內之最大粗糙度。
在所述態樣之整合式裝置封裝中,該壁經構造使得該壁之該表面粗糙度具有在0.1 μm至1 μm的範圍內之最大粗糙度。
在所述態樣之整合式裝置封裝中,該天線結構安置在該腔體之上表面上或附近,或安置在該元件遠離該腔體之頂表面上或附近。
在所述態樣之整合式裝置封裝中,該天線結構包含寄生貼片及饋電貼片。
在所述態樣之整合式裝置封裝中,該天線結構之該部分包含該寄生貼片,該腔體安置在該寄生貼片與該饋電貼片之間,且該寄生貼片及該饋電貼片電連通。
本發明的另一態樣為一種整合式裝置封裝,其包含:封裝殼體,其包含半導體材料,該封裝殼體包含:整合式裝置;天線結構;及腔體,其安置在該整合式裝置及該天線結構之至少一部分之間;以及電互連,其連接該整合式裝置及該天線結構。
在所述另一態樣之整合式裝置封裝中,該半導體材料包含矽。
在所述另一態樣之整合式裝置封裝中,藉由蝕刻該半導體材料來形成該腔體。
在所述另一態樣之整合式裝置封裝中,該天線結構包含複數個天線。
在所述另一態樣之整合式裝置封裝中,該封裝殼體包含接合在一起之複數個殼體部分。
本發明的又一態樣為一種製造半導體裝置封裝的方法,該方法包含:在包含天線結構之半導體元件中形成凹部;及將該半導體元件與整合式裝置晶粒接合,其中該凹部安置在該天線結構與該整合式裝置晶粒之間。
在所述又一態樣之方法中,形成該凹部包含蝕刻該半導體元件之一部分。
在所述又一態樣之方法中,形成該凹部包含用金屬塗覆該凹部之部分。
在所述又一態樣之方法中,蝕刻該部分包含蝕刻該半導體元件之框架部分。
在所述又一態樣之方法中,將該半導體元件與該整合式裝置晶粒接合包含將該半導體元件直接接合至該整合式裝置晶粒而無需中介黏合劑。
在所述又一態樣之方法中,所述方法進一步包含在該半導體元件及該整合式裝置晶粒中之互連之間進行電連接。
在所述又一態樣之方法中,所述方法進一步包含用空氣填充該凹部。
在諸如無線通信技術的各種技術中,通信頻率一直在增加且可能將繼續增加。例如,在典型的蜂巢網路中,頻率可為大約至少幾GHz。採用新標準(例如,5G標準),頻率可基本上較高,例如,至少25 GHz、至少50 GHz、至少75 GHz等。不同的天線結構可為更適合具有較高頻率的這些新技術。設計新天線結構之重要目的為創建消耗更少能量的更有效的結構,例如,需要具有更高增益的天線結構。此外,現代天線設計中之另一重要目的為創造可在更寬的頻率範圍內操作的更高效的平面天線結構,因為與其他類型的天線相比,諸如貼片天線的平面天線具有固有的更小頻寬。在提供更高增益及/或更寬頻寬的天線時,提供諧振結構的腔體的天線結構可能為有用的。然而,隨著頻率的增加,腔體通常更小且可利用更準確及/或精確的尺寸。因此,需要天線封裝之經改良結構。
本文中揭示之各種實施例係關於整合式裝置封裝,例如包括腔體的射頻(RF)封裝。在一些實施例中,整合式裝置封裝可包含經堆疊以界定腔體之裝置晶粒。例如,在一些實施例中,RF封裝可包含耦合至元件(例如,半導體元件)或與元件(例如,半導體元件)形成之天線結構、裝置晶粒以及天線結構與裝置晶粒之間的腔體。例如,在一些實施例中,半導體元件可包含腔體,且裝置晶粒可包含主動晶粒,該主動晶粒例如經由垂直互連與天線結構電連接。
在一些實施例中,可藉由在RF裝置的天線封裝中包括腔體來改良RF效能。特定而言,天線封裝中之相對小的、精確尺寸的腔體可用於改良例如其具有高頻天線之此裝置的頻寬。此外,減小天線封裝之水平尺寸可允許RF裝置適應更高頻率的應用。進一步精確的水平及/或垂直尺寸對於改良RF裝置的效能可能為重要的,例如,通過允許具有更高增益之更多窄帶應用,或啟用具有可接受增益的更寬頻寬應用。緊湊的垂直互連,用於連接天線結構及主動晶粒。元件與天線結構可堆疊在裝置晶粒上以減小天線封裝的水平尺寸。然而,製造精確尺寸的腔體,且將元件與天線及主動晶粒堆疊可能為具有挑戰性。
圖1A為整合式裝置封裝1的示意性剖面圖,其包括元件10,元件10包含框架11、天線結構32及經由互連36與天線結構32電連接的裝置晶粒18。例如,在一些實施例中,天線結構32可藉由安置在元件10中或其上的導電跡線(未示出)電連接至互連36。裝置封裝1包含在元件10與裝置晶粒18之間的腔體。
在一些實施例中,元件10之框架11可包含半導體材料或其他介電材料(例如,矽、GaAs、玻璃等)。在一些實施例中,互連36 (例如,穿矽通孔(TSV),或穿玻璃通孔(TGV)等)可藉由在框架11中形成孔洞並且將導電材料(例如,銅、金等)安置在孔洞中。在一些實施例中,在框架11中具有互連36可能為有益的,以便減小整合式裝置封裝1的整體大小,而非具有與框架11及/或覆晶或導線接合互連分離的電連接。此外,此些實施例可允許裝置晶粒18與天線結構32之間的距離比外部電連接更短,這可增加信號傳送準確度,及/或減少將信號自裝置晶粒18傳送至天線結構32之電負載,且反之亦然。在一些實施例中,框架可包含多於一個互連36。圖1A中所說明之互連36說明為設置在框架11內。然而,互連36可形成在元件10之任何位置處,包括元件10之邊緣及/或側壁。
在一些實施例中,可能期望用腔體填料填充腔體30。腔體填料可包含低損耗介電常數或低損耗正切填料,例如具有低於約0.0001之損耗角正切的填料。在一些實施例中,腔體填料可包含氣體,諸如惰性氣體、空氣、氮氣等。在一些實施例中,可能期望使用乾燥氣體(例如,惰性乾燥氣體),因為濕度可能改變某些氣體之損耗正切。在一些其他實施例中,腔體填料可包含介電材料。在一些實施例中,腔體填料可包含此填充材料或氣體的任何組合。腔體填料(例如,填充材料或氣體)可以任何合適的方式安置在腔體30中。應理解,用於特定應用之腔體30的尺寸可至少部分地由腔體填料的性質判定。在腔體30中具有已知損耗角正切的腔體填料 用於精確量測亦可能為有益的。
在一些實施例中,腔體30可在其內周邊上或內周邊處包含塗層(未示出)。例如,第一壁40、第二壁42、上表面44及/或下表面46可塗覆有金屬及/或另外介電層。在一些實施例中,重要的是提供氣密密封的腔體30以將腔體30與外部環境隔離以在腔體30中具有已知氣體或材料。在一些實施例中,腔體亦可為天線結構32、34提供機械支撐。
在一些實施例中,腔體可通藉由例如蝕刻元件10的框架11來界定(參見圖5)。在一些實施例中,腔體30可包含第一壁40及第二壁42。在此些實施例中,第一壁40及第二壁42可包含腔體30之兩個相對側。腔體30亦可包含上表面44及下表面46。在圖1之所說明實施例中,元件10之部分可包含上表面44,且裝置晶粒18之部分可包含下表面46。儘管圖1A中所說明的腔體30具有如剖面圖中所見至矩形形狀,但腔體30可形成任何其他合適的形狀。
如在圖1A中所說明,天線結構32可安置在或接近元件10的頂部表面48上或附近。例如,天線結構32可至少部分地嵌入在框架11中。在一些實施例中,天線結構32可安置在框架11的頂部上,例如,安置在框架11之外表面上。然而,應理解,天線結構32可安置在整合式封裝1之不同位置處(例如,參見圖1B)。例如,天線結構32可安置在腔體30之上表面附近,腔體30之上表面與元件10之頂表面之間,在腔體30之下表面46附近等。如圖1A中所示出,天線結構32可包含複數個橫向隔開之天線結構元件。在一些實施例中,天線結構32可包含相控陣列天線,該天線可包括天線陣列。可獨立地控制相控陣列天線之天線中之每一者。相移之信號可應用於相控陣列天線之天線中之每一者,且可提供建設性干涉或破壞性干涉。天線中之每一者可對應於橫向間隔開之天線結構元件。可優化天線結構元件之間的間隔以提供所要的干擾耦合。在一些實施例中,天線結構32可包含貼片天線。貼片天線可經組態以發送及/或接收可單獨路由之不同頻率的信號。在一些實施例中,裝置晶粒18可包含分離器,該分離器可篩選每一分離的感興趣頻寬。儘管圖1A中僅說明一個天線結構32,但在一些實施例中,元件10可包含多個天線結構。在一些實施例中,多個天線結構可安置在元件10之不同部分處。
元件10及裝置晶粒18可在接合表面22處直接接合在一起而無中介黏合劑。在一些實施例中,直接接合元件10及裝置晶粒18可能為有益的。例如,在一些實施例中,與使用其他接合方法諸如使用黏合劑進行接合)進行接合相比,直接接合元件10及裝置晶粒18可提供更好的密封而不增加封裝1之佔用面積或厚度。例如,因為直接接合不包括元件10與裝置晶粒18之間的底部填料,所以避免底部填料之洩漏。然而,在其他實施例中,元件10及裝置晶粒18可用黏合劑接合。
直接接合表面之製備可包括提供非導電層,諸如氧化矽。可將元件10及裝置晶粒18之接合表面拋光至非常高的光滑度(例如,小於20 nm之表面粗糙度,或更特定而言,小於1 nm之表面粗糙度),例如藉由化學機械拋光(CMP)。在一些實施方案中,待接合之表面可用合適的物質封端並在接合之前活化。例如,在一些實施例中,待接合之接合層之接合表面,例如氧化矽材料,可經非常輕微地蝕刻以用於活化並暴露於含氮溶液並用含氮物質封端。作為一個實例,待接合之表面可在非常輕微地蝕刻之後暴露於氨浸漬及/或含氮電漿(具有或不具有單獨蝕刻)。一旦製備了個別表面,即可使元件10及裝置晶粒18之接合表面(諸如氧化矽)接觸。活化表面之交互作用可使第一元件10之接合表面在室溫下無需中介黏合劑、無需施加額外壓力、無需施加電壓而與裝置晶粒18之接合表面直接接合。在各種實施例中,非導電區域之接合力可包括大於凡得瓦鍵之共價鍵並在導電特徵之間施加顯著的力。在任何熱處理之前,在電介質-電介質表面之接合能量可在150至300 mJ/m 2範圍內,其可在熱處理週期之後增加至1500至4000 mJ/m 2。結合所揭示實施例中之每一者使用之直接接合過程的額外細節可每個公開的實施方案使用的直接接合方法的其他細節可貫穿美國專利第7,126,212;8,153,505;7,622,324;7,602,070;8,163,373;8,389,378;及8,735,219號,及貫穿美國專利申請公開案第2017/0062366;2016/0314346;2017/0200711中找到,其中每一者之內容特此藉由全文引用的方式併入本文中並用於所有目的。
腔體30可具有自第一壁40至第二壁42的寬度w。寬度w之尺寸可取決於在整合了整合式封裝1之系統中所使用之電磁信號之頻率。例如,在一些實施例中,對於頻率為24 GHz,寬度w可為約6 mm,且對於128 GHz之頻率,寬度w可為約1 mm。在一些實施例中,電磁信號之頻率可在24 GHz至128 GHz的範圍內。例如,在一些實施例中,頻率可在24 GHz至75 GHz的範圍內,在50 GHz至128 GHz的範圍內,在50 GHz至75 GHz的範圍內等。寬度w可在0.5 mm至14 mm的範圍內。例如,寬度w可在0.5 mm至5 mm的範圍內、在4 mm至14 mm的範圍內,在4 mm至5 mm的範圍內等。寬度w可具有公差,此歸因於例如,在一些實施例中,第一壁40與第二壁42之不平坦表面可具有1 μm至2 μm的粗糙度r (參見圖5)。在一些實施例中,粗糙度r可例如在0.1 μm至2 μm的範圍中。在一些實施例,粗糙度r可小於寬度w之0.1%。腔體30可具有從下表面46至上表面44的高度h。在一些實施例中,高度h之尺寸可取決於操作頻率(波長)及/或信號強度。高度h可為操作頻率之波長的一半。較小的高度往往具有較低信號輻射強度。因此,在一些實施例中,可考慮高度設計極限及信號強度要求來判定高度h,例如,待傳遞之信號的所要量值。在一些實施例中,高度h可在5 μm至500 μm的範圍內。例如,高度h可在5 μm至250 μm的範圍內,在50 μm至250 μm的範圍內,在150 μm至500 μm的範圍內,在150 μm至250 μm的範圍內等。在一些實施例中,高度h可具有小於0.5 μm的粗糙度。例如,粗糙度可小於0.05 μm。在一些實施例中,粗糙度可小於高度h之約0.2%,例如,小於高度h之0.1%至0.5%。應理解,對於框架使用半導體或介電材料(例如,矽、玻璃等)可在製造具有如此小尺寸之凹部時提供高準確度。有利地,本文中所揭示之實施例可為高頻RF應用提供準確尺寸的腔體。
圖1B為整合式裝置封裝1的示意性剖面圖,其包括元件10及裝置晶粒18,元件10包含框架11和及天線結構34,裝置晶粒18經由互連38與天線結構34電連接。除非另有說明,否則圖1B之組件可與圖1A之相同編號之組件相同或大致相似。
與圖1A之實施例不同,在圖1B中,天線結構34可安置在腔體30之上表面44上或附近。與圖1A中所說明之天線結構32一樣,天線結構可嵌入在框架11中,及/或安置在框架11之頂部上。此外,與圖1A之實施例不同,其中互連36自裝置晶粒18延伸到元件10之頂表面48上或附近的天線結構32,圖1B之互連38自裝置晶粒18延伸至天線結構34在腔體30之上表面44上或附近。此外,圖1B中所說明之互連38沿著腔體30之第二表面42安置。將天線結構32置於元件10之頂表面48上或附近(如圖1A中所展示)或者將天線結構34置於腔體30之上表面44上或附近(如圖1B中所展示)可存在各種益處。例如,在頂表面48 (圖1A)上形成天線結構32可比在腔體30之上表面44上形成天線結構34更容易且更便宜。然而,在腔體30之上表面44上提供天線結構34可將天線結構34放置得更靠近腔體30及/或裝置晶粒18,從而提供經改良效能。儘管天線結構34經展示為嵌入在框架11的材料內在腔體30的上表面44附近,天線結構34亦可安置在框架11的材料的頂部上在腔體30之上表面44附近。
儘管在圖1A及圖1B中僅示出一個天線及位置,但應理解,元件10可在頂表面48上或附近具有一或多個天線結構32,或在腔體30之上表面44上具有一或多個天線結構34,或在兩個位置處同時存在一或多個天線結構32及34。
熟習此項技術者將理解,一個元件可包括圖1A中所說明之天線結構32及圖1B中所說明之天線結構34兩者。在此等實施例中,元件亦可包括互連36及38。在一些其他實施例中,元件可在元件的各個部分處包括更多天線結構。
圖2為整合式裝置封裝1的示意性剖面圖,其展示元件10,元件10包含在第二接合表面24及第三接合表面26處接合在一起之複數個框架部分12、14、16。圖2之整合式裝置封裝包括安置在頂表面48上或附近之天線結構32。然而,應理解,圖2之整合式裝置封裝1可包括在腔體30之上表面44上或附近的天線結構,如圖1B中或在任何其他合適位置處所說明。除非另有說明,否則圖2之組件可與圖1A及圖1B之相同編號組件相同或大致相似。
在一些實施例中,元件10可包括框架11,框架11具有接合在一起(例如,在沒有中介黏合劑的情況下直接接合)之複數個框架部分。框架11可包含例如第一框架部分12、第二框架部分14及第三框架部分16。如圖2中所示出,第一部分12及第二框架部分14可直接接合在第二接合表面24上,且第二框架部分14及第三框架部分16可直接接合在第三接合表面26上,以形成元件10之框架11。因此,在此些實施例中,第一框架部分12之部分可包含腔體30之上表面44及元件10之頂表面48,第二框架部分14之區段可包括第一壁40及第二壁42,且第三框架部分16之區段可包含腔體30之下表面46。然而,在一些實施例,第三部分16可省略,且晶粒18之平面部分可包含腔體30之下表面46。
在一些實施例中,當第一框架部分12、第二框架部分14及第三框架部分16單獨接合時,通孔36可並非單個同質通孔。例如,通孔可包含在界面處接合之單獨部分,諸如第二接合表面24及第三接合表面26。在一些實施例中,相應框架部分12、14、16中之通孔36之部分可偏離彼此。例如,通孔36之偏移部分可藉助於重新分佈層電連接。
在一些實施例中,第一框架部分12及第二框架部分14可包含消除第二接合界面24之整體結構。例如,第二框架部分14可自第一框架部分12延伸。在一些實施例中,整體結構可接合至第三框架部分16在第三接合表面26處。在一些其他實施例中,整體結構可接合至裝置晶粒18而無需第三框架部分16。
在一些實施例中,第二框架部分14及第三框架部分16可包含消除第三接合界面26之整體結構。例如,第二框架部分14可自第三框架部分16延伸。在一些實施例中,整體結構可與第一框架部分12在第二接合表面24處接合在一起。應理解,在一些其他實施例中,說明為框架11之部分的第三框架部分16可為與第一晶粒部分20分離之整合式裝置晶粒18之部分。類似地,說明為框架11之部分的第二框架部分14可為整合式裝置晶粒18之部分。
圖3A為整合式裝置封裝1的示意性剖面圖,該整合式裝置封裝1包括經由腔體30自晶粒18延伸至天線結構32之第一互連60。圖3B為整合式裝置封裝1的示意性剖面圖,該整合式裝置封裝1包括自晶粒18延伸至天線結構34之第二互連62。除非另有說明,否則圖3A及圖3B之組件可與圖1A、圖1B及圖2之相同編號組件相同或大致相似。在一些實施例中,第一互連60及第二互連62可分別連接至天線結構32、34,以將晶粒18之電路電連接至天線結構32、34。在此等實施例中,可省略圖1A及圖1B之互連36、38。在圖3A的實施例中,互連60可自腔體30之下表面46 (例如,晶粒18之上表面)延伸穿過腔體30,且穿過框架部分12之厚度以連接至天線結構32。在圖3B之實施例中,互連62可從腔體30之下表面(例如,晶粒18之上表面)延伸,且穿過腔體30以連接至腔體30之上表面44處的天線元件32。
在一些實施例中,使用互連60、62作為電互連而不是互連36、38可能為有益的。例如,使用互連60、62可避免蝕刻穿過框架以形成導電材料欲安置在其中的孔洞的步驟。因此,形成互連62可比形成互連38更容易及/或更快。另外,在腔體30內橫向地具有互連60、62可減小整合式裝置封裝1之整體橫向尺寸。在一些實施例中,互連62可用作諧振器而不連接至上表面44。在一些實施例中,互連60、62可包含從腔體30之下表面46向上延伸的柱。在此類實施例中,互連60、62可藉由各種過程形成。在一些實施例中,互連60、62可形成為與腔體30相同的整體結構的部分。例如,互連60、62可在框架部分12、14的連接期間形成。例如,在單獨或整體結構中,互連60、62可藉由在框架之預腔體整體件中形成孔(例如,藉由蝕刻、鑽孔等)並用導電材料填充孔來形成。可藉由例如電鍍或以任何合適的方式用導電材料填充孔洞。在形成過程之後可在互連60、62周圍蝕刻腔體30。在一些其他實施例中,在框架之預腔體整體件中蝕刻腔體,後續接著天線34在腔體30之上表面44上或附近之沈積,用抗蝕劑填充腔體30,在抗蝕劑中形成孔洞並用導電材料填充孔洞。可藉由例如電鍍或任何其他合適的方式將導電材料填充在孔洞中以形成互連62並移除抗蝕劑。本領域技術人員將理解,互連60和62可以具有用於薄腔體結構的短長度。在一些實施例中,薄腔體結構可具有在例如5μm至10μm的範圍內之高度h。例如,在此薄腔體結構中,互連62可包含形成在裝置晶粒18上之銅柱。
圖4A及圖4B為在整合式裝置封裝1之不同部分中具有腔體30之整合式裝置封裝1的示意性剖面圖。儘管圖4A及圖4B之天線結構32經示出在頂表面48上或附近,在其他實施例中,天線結構34可設置在上表面44上或附近,如在其他實施例中所揭示,例如在圖1B中。除非另有說明,否則圖4A及圖4B之組件可與圖1A至圖3B之相同編號之組件相同或大致相似。
在圖1A至圖4A的所說明實施例中,腔體30由形成在元件10中的凹部及下表面46界定,下表面46可藉由例如蝕刻元件10之框架11並將裝置晶粒18接合至元件10來界定。然而,如圖4B中所說明,在其他實施例中,腔體30可由整合式裝置封裝1之其他部分階度,例如,藉由在裝置晶粒18中形成的凹部及元件10之平面部分45之部分,此界定腔體30之上表面44。在一些其他實施例中,腔體30可由元件10及裝置晶粒18兩者中之凹部界定。
在一些實施例中,主動電路19可安置在裝置晶粒18之底表面50處或附近,如圖4A及圖4B中所說明。在一些實施例中,主動電路19可經由互連36電連接至天線結構32。然而,應理解,主動電路19可安置在裝置晶粒18中之任何位置(例如,在接合表面附近22,或嵌入在晶粒18內)。有利地,在圖4B中,可更容易地在腔體的上表面44處或附近形成天線結構而非在其他佈置中,因為上表面44未自元件10之平面部分45凹進。
圖5為圍繞腔體30之整合式裝置封裝1的示意性剖面放大示圖,示出壁40、42的不平坦及/或扇形表面54、56的放大視圖。除非另有說明,否則圖5之組件可與圖1A至圖4B之相同編號的組件相同或大致相似。如此處可看到的,在一些實施例中,扇形表面54、56可包含指示蝕刻過程之標記,例如深反應離子蝕刻(DRIE)。可能希望使扇形表面54、56之粗糙度r低於一定位準,以便為天線結構提供較佳效能。理論上,具有用於腔體之內周邊之完全平坦的表面可實現形成駐波。然而,實際上,提供完全平坦之表面可能為具有挑戰性的。在一些實施例中,例如,蝕刻過程可自腔體30之下表面46蝕刻。在每一蝕刻步驟處,形成彎曲表面55 (其可為小的)。在從下表面46至上表面44蝕刻n次之後,在扇形表面54、56上可存在n個曲面。應理解,每一曲線可為唯一的且可具有與另一曲線表面不同之粗糙度r。如上文所揭示,在一些實施例中,粗糙度r可在寬度w的0.1μm至2μm及/或小於0.1%的範圍內。在一些實施例中,為了改良(例如,平滑)粗糙度,可在藉由實例DRIE過程形成具有扇形表面54、56之腔體30之後生長氧化物層(例如,比粗糙度r或扇形表面更厚的薄熱氧化物層)。與不使用熱氧化物層相比,可蝕刻掉此氧化物層以形成明顯更平滑的腔體側壁。
圖6A至圖6C示出在整合式裝置晶粒1中製造腔體30的步驟。除非另有說明,否則圖6A至圖6C之組件可與圖1A至圖5中之相同編號的組件相同或大致相似。在所說明實施例中,天線結構32在接合及形成腔體30之前形成。然而,應理解,在形成腔體30之後可形成天線結構32。在一些實施例中,可提供包含框架11及天線結構32之元件10,如圖6A中所示出。框架11可具有與頂表面48相對之蝕刻表面47。可自蝕刻表面47蝕刻框架以形成具有上表面44之凹部31 (參見圖6B)。在此步驟處,在一些實施例中,亦可藉由例如蝕刻或鑽孔形成孔並在孔洞中安置導電材料(例如,銅、金等)來形成互連36。然而,互連36可例如在形成腔體31之前形成。在一些實施例中,互連36可例如在將元件10與裝置晶粒18接合之後形成。如在圖6C中所示出,元件10可倒置並鍵結(例如,在一些實施例中直接鍵結而沒有中介黏合劑)至裝置晶粒18在鍵結表面26處,從而界定具有凹部31之腔體30及腔體30之下表面46。應理解,框架可包含複數個框架部分,如上面關於圖2所論述。互連36可電連接至裝置晶粒18之一或多個金屬墊。在一些實施例中,互連36與裝置晶粒18之一或多個金屬墊之間的電連接可在互連36在接合之前形成時藉由例如混合接合及/或直接接合形成。在一些其他實施例中,框架11中之孔洞可打開以可接近裝置18之頂表面46上之一或多個電墊,且可藉由例如電鍍等用導電材料填充孔洞以在將框架11鍵結至裝置晶粒18之後在形成互連36時在後通孔(via-last)方法中形成互連36。
圖7A為整合式裝置封裝1的示意性剖面圖,該整合式裝置封裝1具有在元件10之頂表面48處或其附近的第一天線結構32a及在腔體30之下表面46處或其附近之第二天線結構32b。除非另有說明,否則圖7A之組件可與圖1A至圖6C之相同編號的組件相同或大致相似。在一些實施例中,第一天線結構32a及第二天線結構32b可電連接以界定一個天線結構。例如,互連36可藉由形成在元件10及/或晶粒18中之相應導電跡線連接至天線結構32a、32b。在一些實施例中,第一天線結構32a可包含寄生貼片,且第二天線結構32b可包含饋電貼片。第二天線結構32b可藉助激勵信號連接至饋電通孔或跡線。在一些實施例中,第一天線結構32a可由來自第二天線結構32b之諧振輻射信號驅動。在一些實施例中,第一天線結構32a及第二天線結構32b之間的腔體30之配置可增強信號諧振輻射,使得可改良增益及/或頻寬。
圖7B為具有第一天線結構32a及第二天線結構3b之整合式裝置封裝1的示意性剖面圖。除非另有說明,否則圖7B之組件可與圖1A至圖7A之相同編號的組件相同或大致相似。與圖7A中所說明之實施例不同,圖7B之整合式裝置封裝1之第二天線結構32b安置在腔體30之下表面46下方,使得第二天線結構32b嵌入在晶粒18中。例如,元件10可包含具有複數個接合在一起的框架部分的框架(如圖2中所示出),且第二天線結構32b可安置在第三部分16 (例如,參見圖2)與晶粒18之間。在一些實施例中,第一天線結構32a可安置在腔體之上表面44附近或在元件11之頂表面48與腔體33之上表面44之間的任何位置。
圖8為根據各種實施例的併入有一或多個整合式裝置封裝1之電子系統80的示意圖。系統80可包含任何合適類型之電子裝置,諸如移動電子裝置(例如,智慧型手機、平板計算裝置、膝上型電腦等)、桌上型電腦、汽車或其組件、立體聲系統、醫療裝置、相機或任何其他合適類型的系統。在一些實施例中,電子系統80可包含微處理器、圖形處理器、電子記錄裝置或數位記憶體。系統80可包括一或多個裝置封裝82,其機械地且電氣地連接至系統80,例如,藉助於一或多個主板。每一封裝82可包含一或多個整合式裝置封裝1。圖8中所展示之系統80可包含本文所示出且所描述之任何整合式裝置封裝1及相關聯密封件。
在一個態樣中,揭示整合式裝置封裝。整合式裝置封裝包括整合式裝置晶粒及元件。該元件包含天線結構。元件附接至整合式裝置晶粒之表面。整合式裝置封裝亦包括腔體,該腔體安置在整合式裝置晶粒與天線結構之至少一部分之間。整合式裝置封裝進一步包括連接整合式裝置晶粒及天線結構的電互連。
在一個實施例中,元件包括框架,該框架直接接合至整合式裝置晶粒而無需中介黏合劑。框架可包括矽。整合式裝置晶粒可包括射頻(RF)電路。腔體可由元件及整合式裝置晶粒界定。腔體可由平面裝置晶粒表面及元件中之凹部界定。可藉由蝕刻元件之部分來形成凹部。腔體可藉由平面元件表面及元件中之凹部界定。整合式裝置晶粒可安裝在封裝基板上。整合式裝置封裝可進一步包括安置在腔體中之金屬層。框架可包括接合在一起之複數個框架部分。
在一個實施例中,腔體以空氣填充。
在一個實施例中,整合式裝置封裝進一步包括至少部分地安置在腔體中之諧振器。
在一個實施例中,腔體包括指示蝕刻過程之第一壁表面。腔體可包括第二壁。第一壁及第二壁可包含腔體之兩個相對側。腔體可具有自第一壁至第二壁之寬度。寬度可經定尺寸以接收或發送頻率範圍為24 GHz至128 GHz之電磁輻射。寬度可在0.5 mm至12 mm的範圍內。第一壁及第二壁可經構造使得尺寸具有範圍為1 μm至2 μm之最大粗糙度。第一及第二壁可經構造使得尺寸具有在0.1 μm至1 μm範圍內之最大粗糙度。
在一個實施例中,天線結構安置在腔體之上表面上或附近,或遠離腔體之元件之頂表面。
在一個實施例中,天線結構包含寄生貼片及饋電貼片。天線結構之該部分可包含寄生貼片,且腔體可設置在寄生貼片與饋電貼片之間。寄生貼片及饋電貼片可電連接。
在一個態樣中,揭示整合式裝置封裝。整合式裝置封裝包括封裝殼體,封裝殼體包含半導體材料。封裝殼體包括整合式裝置,天線結構及安置在整合式裝置與天線結構之至少一部分之間的腔體。整合式裝置封裝亦包括連接整合式裝置及天線結構的電互連。
在一個實施例中,半導體材料包含矽。
在一個實施例中,藉由蝕刻半導體材料來形成腔體。
在一個實施例中,天線結構包括複數個天線。
在一個實施例中,封裝殼體包括鍵結在一起之複數個殼體部分。
在一個態樣中,揭示一種製造整合式裝置封裝的方法。該方法包括在包含天線結構之半導體元件中形成凹部,以及將半導體元件與整合式裝置晶粒接合,使得凹部安置在天線結構與整合式裝置晶粒之間。
在一個實施例中,形成凹部包括蝕刻半導體元件之部分。
在一個實施例中,形成凹部包括用金屬塗覆凹部之部分。蝕刻該部分可包括蝕刻包含半導體元件之矽的框架部分。
在一個實施例中,將半導體元件與整合式裝置晶粒接合包括將半導體元件直接接合至整合式裝置晶粒而無需中介黏合劑。
在一個實施例中,將半導體元件與整合式裝置晶粒接合包括在包括在半導體元件中之互連與整合式裝置晶粒之間形成電連接。
在一個實施例中,形成凹部亦包括用空氣填充凹部。
出於總結所揭示實施例及優於現有技術所實現之優點,本文中已描述某些目的及優點。當然,應理解,根據任何特定實施例未必可實現所有此等目的或優點。因此,例如,熟習此項技術者將認識到,所揭示實施可以實現或優化如本文中所教示或建議之一個優點或一組優點的方式來體現或實施,而不必實現本文中可能教示或建議之其他目的或優點。
所有此等實施例皆意欲在本發明之範圍內。藉由參考附圖對實施例之以下詳細描述,此等及其他實施例對熟習此項技術者將容易顯而易見,請求項不限於所揭示之任何特定實施例。儘管本文中已揭示此特定實施例及實例,但熟習此項技術者將理解,所揭示實施超出具體揭示實施例,擴展至其他替換實施例及/或用途以及其明顯的各種修改及等效物。另外,儘管已展示並詳細描述了若干變型,但基於本發明,其他修改將對熟習此項技術者容易顯而易見。亦預期,可進行實施例之具體特徵及態樣之各種組合或子組合且仍然在該範圍內。應理解,所揭示實施例之各種特徵及態樣可彼此組合或替代,以便形成所揭示實施之各種模式。因此,意圖為本文中揭示之標的物之範圍不應受上文所描述特定所揭示的實施例的限制,而應僅藉由公平閱讀所附請求項來判定。
1:整合式裝置封裝 10:元件 11:框架 12:框架部分 14:框架部分 16:框架部分 18:裝置晶粒 19:主動電路 20:第一晶粒部分 22:接合表面 24:第二接合表面 26:第三接合表面 30:腔體 31:凹部 32:天線結構 32a:第一天線結構 32b:第二天線結構 34:天線結構 36:互連 38:互連 40:第一壁 42:第一壁 44:上表面 45:平面部分 46:下表面 47:蝕刻表面 48:頂部表面 50:底表面 54:扇形表面 55:彎曲表面 56:扇形表面 60:第一互連 62:第二互連 80:系統 82:裝置封裝 h:高度 r:粗糙度 w:寬度
[圖1A]為整合式裝置封裝的示意性剖面圖,該整合式裝置封裝包括元件,該元件包含框架及安置在元件頂表面上或附近的天線結構,以及經由互連與天線結構電連接的裝置晶粒。
[圖1B]為整合式裝置封裝的示意性剖面圖,其中天線結構安置在天線結構與裝置晶粒之間形成的腔體之上表面上或附近。
[圖2]為整合式裝置封裝的示意性剖面圖,其展示包含接合在一起的複數個框架部分的元件。
[圖3A]為整合式裝置封裝的示意性剖面圖,該整合式裝置封裝包含通過腔體從晶粒延伸到天線結構的第一互連。
[圖3B]為整合式裝置封裝的示意性剖面圖,該整合式裝置封裝包含從晶粒延伸到天線結構的第二互連。
[圖4A]為整合式裝置封裝的示意性剖面圖,該整合式裝置封裝具有在裝置晶粒的底表面及元件中的腔體附近的主動電路。
[圖4B]為整合式裝置封裝的示意性剖面圖,該整合式裝置封裝具有在裝置晶粒的底表面及裝置晶粒中的腔體附近的主動電路。
[圖5]為圍繞腔體之整合式裝置封裝的示意性剖面放大視圖,展示壁的不平坦及/扇形表面的放大視圖。
[圖6A]為在製造用於形成腔體的凹部之前的元件的剖面圖。
[圖6B]為在製造用於形成腔體的凹部之後的元件的剖面圖。
[圖6C]為在將圖6B的元件接合至裝置晶粒之後的整合式裝置封裝的剖面圖。
[圖7A]為整合式裝置封裝的示意性剖面圖,該整合式裝置封裝在元件的頂表面上或附近具有第一天線結構,且在腔體的下表面上或附近具有第二天線結構。
[圖7B]為整合式裝置封裝1的示意性剖面圖,該整合式裝置封裝在元件的頂表面上或附近具有第一天線結構,且在腔體的下表面下方具有第二天線結構。
[圖8]為根據各種實施例的併入有一或多個接合結構的電子系統的示意圖。
1:整合式裝置封裝
10:元件
11:框架
18:裝置晶粒
22:接合表面
30:腔體
32:天線結構
36:互連
40:第一壁
42:第一壁
44:上表面
46:下表面
48:頂部表面
50:底表面
h:高度
w:寬度

Claims (23)

  1. 一種製造整合式裝置封裝的方法,所述方法包含: 在包含天線結構的半導體元件中形成凹部;以及 將所述半導體元件與整合式裝置晶粒直接接合而沒有中介黏合劑,其中所述凹部設置在所述天線結構和所述整合式裝置之間。
  2. 如請求項1所述的方法,其中,形成所述凹部包括蝕刻所述半導體元件的一部分。
  3. 如請求項1所述的方法,其中,形成所述凹部包括用金屬塗覆所述凹部的部分。
  4. 如請求項2所述的方法,其中,蝕刻所述部分包括蝕刻所述半導體元件的框架部分。
  5. 如請求項1所述的方法,其進一步包括拋光所述半導體元件的表面。
  6. 如請求項5所述的方法,其進一步包括在將所述半導體元件與所述整合式裝置晶粒直接接合之前拋光所述整合式裝置晶粒的表面。
  7. 如請求項1所述的方法,其進一步包括在所述半導體元件中的第一互連和所述整合式裝置晶粒中的第二互連之間建立電連接。
  8. 如請求項7所述的方法,其中,將所述半導體元件與所述整合式裝置晶粒直接接合包括將所述半導體元件的非導電區域與所述整合式裝置晶粒的非導電區域直接接合而沒有中介黏合劑,進行電連接包括直接接合所述半導體元件中的所述第一互連以及所述整合式裝置晶粒中的所述第二互連而沒有中介黏合劑。
  9. 如請求項1所述的方法,其進一步包括用空氣填充所述凹部。
  10. 如請求項1所述的方法,其進一步包括形成所述天線結構。
  11. 如請求項10所述的方法,其中,形成所述天線結構包括在形成所述凹部之前在所述半導體元件的表面上形成所述天線結構。
  12. 如請求項10所述的方法,其中,形成所述天線結構包括在暴露於所述凹部的所述半導體元件的表面上形成所述天線結構。
  13. 如請求項1所述的方法,其進一步包括在面向所述半導體元件的所述整合式裝置晶粒的表面處或附近形成第二天線結構。
  14. 如請求項1所述的方法,其進一步包括形成至少部分延伸穿過所述半導體元件的非導電框架部分的厚度之互連。
  15. 如請求項14所述的方法,其中,形成所述互連包括透過蝕刻或鑽孔所述半導體元件的所述非導電框架部分來製作孔洞並且用導電材料填充所述孔洞。
  16. 一種製造整合式裝置封裝的方法,所述方法包括: 在包括天線結構的半導體元件中形成凹部,形成所述凹部包括蝕刻所述半導體元件的一部分;以及 將所述半導體元件與整合式裝置晶粒直接接合而沒有中介黏合劑,其中所述凹部設置在所述天線結構和所述整合式裝置晶粒之間。
  17. 如請求項16所述的方法,其中,將所述半導體元件與所述整合式裝置晶粒接合包括將所述半導體元件直接接合到所述整合式裝置晶粒而沒有中介黏合劑。
  18. 如請求項17所述的方法,其進一步包括在所述半導體元件中的第一互連和所述整合式裝置晶粒中的第二互連之間建立電連接。
  19. 如請求項18所述的方法,其中,進行電連接包括直接接合所述半導體元件中的所述第一互連和所述整合式裝置晶粒中的所述第二互連而沒有中介黏合劑。
  20. 一種製造整合式裝置封裝的方法,所述方法包括: 提供包括天線結構的半導體元件;以及 將所述半導體元件與整合式裝置晶粒直接接合而沒有中介黏合劑。
  21. 如請求項20所述的方法,其進一步包括在所述天線結構和所述整合式裝置晶粒之間形成凹部。
  22. 如請求項21所述的方法,其進一步包括在所述半導體元件中形成所述凹部。
  23. 如請求項20所述的方法,其中將所述半導體元件與所述整合式裝置晶粒直接接合包括在將所述半導體元件的非導電區域與所述整合式裝置晶粒的非導電區域直接結合而沒有中介黏合劑,以及直接結合所述半導體元件中的第一互連和在所述整合式裝置晶粒中具有第二互連而沒有中介黏合劑。
TW112110060A 2017-12-22 2018-12-13 腔體封裝 TWI835598B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762609682P 2017-12-22 2017-12-22
US62/609,682 2017-12-22
US16/212,471 2018-12-06
US16/212,471 US10923408B2 (en) 2017-12-22 2018-12-06 Cavity packages

Publications (2)

Publication Number Publication Date
TW202333309A true TW202333309A (zh) 2023-08-16
TWI835598B TWI835598B (zh) 2024-03-11

Family

ID=66951410

Family Applications (3)

Application Number Title Priority Date Filing Date
TW112110060A TWI835598B (zh) 2017-12-22 2018-12-13 腔體封裝
TW111117017A TWI800370B (zh) 2017-12-22 2018-12-13 腔體封裝
TW107145028A TWI767099B (zh) 2017-12-22 2018-12-13 腔體封裝

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW111117017A TWI800370B (zh) 2017-12-22 2018-12-13 腔體封裝
TW107145028A TWI767099B (zh) 2017-12-22 2018-12-13 腔體封裝

Country Status (3)

Country Link
US (3) US10923408B2 (zh)
TW (3) TWI835598B (zh)
WO (1) WO2019125828A1 (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10366966B1 (en) * 2018-05-17 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing integrated fan-out package
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11152707B1 (en) * 2020-07-02 2021-10-19 International Business Machines Corporation Fast radio frequency package
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11705410B2 (en) 2020-12-11 2023-07-18 Nxp Usa, Inc. Semiconductor device having integrated antenna and method therefor

Family Cites Families (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
JPH10112517A (ja) 1996-10-03 1998-04-28 Ngk Spark Plug Co Ltd 電子部品収納用パッケージ
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US6872984B1 (en) 1998-07-29 2005-03-29 Silicon Light Machines Corporation Method of sealing a hermetic lid to a semiconductor die at an angle
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP2001148436A (ja) 1999-11-22 2001-05-29 Ngk Spark Plug Co Ltd セラミックパッケージ及びセラミックパッケージの製造方法
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6900549B2 (en) 2001-01-17 2005-05-31 Micron Technology, Inc. Semiconductor assembly without adhesive fillets
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US20020179921A1 (en) 2001-06-02 2002-12-05 Cohn Michael B. Compliant hermetic package
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
JP2003204074A (ja) 2001-10-29 2003-07-18 Sharp Corp 太陽電池用封止膜、およびこれを用いた太陽電池パネルの製造方法
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6876062B2 (en) 2002-06-27 2005-04-05 Taiwan Semiconductor Manufacturing Co., Ltd Seal ring and die corner stress relief pattern design to protect against moisture and metallic impurities
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040259325A1 (en) 2003-06-19 2004-12-23 Qing Gan Wafer level chip scale hermetic package
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7165896B2 (en) 2004-02-12 2007-01-23 Hymite A/S Light transmitting modules with optical power monitoring
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7952189B2 (en) 2004-05-27 2011-05-31 Chang-Feng Wan Hermetic packaging and method of manufacture and use therefore
US7183622B2 (en) 2004-06-30 2007-02-27 Intel Corporation Module integrating MEMS and passive components
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
SG191562A1 (en) 2004-11-04 2013-07-31 Microchips Inc Compression cold welding process for forming vias
KR100498708B1 (ko) 2004-11-08 2005-07-01 옵토팩 주식회사 반도체 소자용 전자패키지 및 그 패키징 방법
US7358106B2 (en) 2005-03-03 2008-04-15 Stellar Micro Devices Hermetic MEMS package and method of manufacture
US7442570B2 (en) 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP2007019107A (ja) 2005-07-05 2007-01-25 Shinko Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7582969B2 (en) 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
US20070045795A1 (en) 2005-08-31 2007-03-01 Mcbean Ronald V MEMS package and method of forming the same
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7288458B2 (en) 2005-12-14 2007-10-30 Freescale Semiconductor, Inc. SOI active layer with different surface orientation
US20070188054A1 (en) 2006-02-13 2007-08-16 Honeywell International Inc. Surface acoustic wave packages and methods of forming same
US20080002460A1 (en) 2006-03-01 2008-01-03 Tessera, Inc. Structure and method of making lidded chips
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
DE102006016260A1 (de) 2006-04-06 2007-10-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Mikromechanische Gehäusung mit mindestens zwei Kavitäten mit unterschiedlichem Innendruck und/oder unterschiedlicher Gaszusammensetzung sowie Verfahren zu deren Herstellung
US7462931B2 (en) 2006-05-15 2008-12-09 Innovative Micro Technology Indented structure for encapsulated devices and method of manufacture
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7430359B2 (en) 2006-10-02 2008-09-30 Miradia, Inc. Micromechanical system containing a microfluidic lubricant channel
US20080124835A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Hermetic seal and reliable bonding structures for 3d applications
JP4983219B2 (ja) 2006-11-22 2012-07-25 株式会社村田製作所 部品内蔵基板
KR100833508B1 (ko) 2006-12-07 2008-05-29 한국전자통신연구원 멤즈 패키지 및 그 방법
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP4792143B2 (ja) 2007-02-22 2011-10-12 株式会社デンソー 半導体装置およびその製造方法
US8513791B2 (en) 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US7737513B2 (en) 2007-05-30 2010-06-15 Tessera, Inc. Chip assembly including package element and integrated circuit chip
KR20090056044A (ko) 2007-11-29 2009-06-03 삼성전자주식회사 반도체 소자 패키지 및 이를 제조하는 방법
JP2009238905A (ja) 2008-03-26 2009-10-15 Nippon Telegr & Teleph Corp <Ntt> 半導体素子の実装構造および半導体素子の実装方法
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
JP5585447B2 (ja) 2008-07-31 2014-09-10 日本電気株式会社 半導体装置及びその製造方法
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
TWI475932B (zh) 2008-09-29 2015-03-01 Ngk Spark Plug Co 帶有補強材之配線基板
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8089144B2 (en) 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
US8269671B2 (en) 2009-01-27 2012-09-18 International Business Machines Corporation Simple radio frequency integrated circuit (RFIC) packages with integrated antennas
US8278749B2 (en) * 2009-01-30 2012-10-02 Infineon Technologies Ag Integrated antennas in wafer level package
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
SE537499C2 (sv) 2009-04-30 2015-05-26 Silex Microsystems Ab Bondningsmaterialstruktur och process med bondningsmaterialstruktur
CN101554988B (zh) 2009-04-30 2011-03-30 华中科技大学 一种微机电系统的圆片级真空封装方法
US20100288525A1 (en) 2009-05-12 2010-11-18 Alcatel-Lucent Usa, Incorporated Electronic package and method of manufacture
EP2259018B1 (en) 2009-05-29 2017-06-28 Infineon Technologies AG Gap control for die or layer bonding using intermediate layers of a micro-electromechanical system
FR2947481B1 (fr) 2009-07-03 2011-08-26 Commissariat Energie Atomique Procede de collage cuivre-cuivre simplifie
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2953679B1 (fr) 2009-12-04 2012-06-01 Thales Sa Boitier electronique hermetique et procede d'assemblage hermetique d'un boitier
JP5115618B2 (ja) 2009-12-17 2013-01-09 株式会社デンソー 半導体装置
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
JP5568786B2 (ja) 2009-12-24 2014-08-13 新光電気工業株式会社 半導体パッケージの製造方法及び半導体パッケージ
JP4900498B2 (ja) 2010-04-26 2012-03-21 セイコーエプソン株式会社 電子部品
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8330559B2 (en) 2010-09-10 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging
US8411444B2 (en) 2010-09-15 2013-04-02 International Business Machines Corporation Thermal interface material application for integrated circuit cooling
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US9386688B2 (en) 2010-11-12 2016-07-05 Freescale Semiconductor, Inc. Integrated antenna package
US8569090B2 (en) 2010-12-03 2013-10-29 Babak Taheri Wafer level structures and methods for fabricating and packaging MEMS
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8847337B2 (en) 2011-02-25 2014-09-30 Evigia Systems, Inc. Processes and mounting fixtures for fabricating electromechanical devices and devices formed therewith
US8395229B2 (en) 2011-03-11 2013-03-12 Institut National D'optique MEMS-based getter microdevice
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP2514713B1 (en) 2011-04-20 2013-10-02 Tronics Microsystems S.A. A micro-electromechanical system (MEMS) device
WO2012161044A1 (ja) 2011-05-24 2012-11-29 ソニー株式会社 半導体装置
KR102377750B1 (ko) 2011-06-17 2022-03-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 액정 디스플레이 장치
US9540230B2 (en) 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
TWI426572B (zh) 2011-10-20 2014-02-11 Ind Tech Res Inst 微機電感測裝置及其製造方法
EP3783192A1 (en) 2011-11-03 2021-02-24 FastCAP SYSTEMS Corporation Production logging instrument
US9139423B2 (en) 2012-01-19 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electro mechanical system structures
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
JP2013243333A (ja) 2012-04-24 2013-12-05 Tadatomo Suga チップオンウエハ接合方法及び接合装置並びにチップとウエハとを含む構造体
DE102012206732A1 (de) 2012-04-24 2013-10-24 Robert Bosch Gmbh Verfahren zum Herstellen eines hybrid integrierten Bauteils
FR2990314B1 (fr) 2012-05-03 2014-06-06 Commissariat Energie Atomique Dispositif microelectronique de transmission sans fil
CA2873960C (en) 2012-05-18 2017-09-05 Panasonic Intellectual Property Management Co., Ltd. Production method of multiple panes
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US8530997B1 (en) 2012-07-31 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Double seal ring
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140130595A1 (en) 2012-11-12 2014-05-15 Memsic, Inc. Monolithic sensor package
US9511994B2 (en) 2012-11-28 2016-12-06 Invensense, Inc. Aluminum nitride (AlN) devices with infrared absorption structural layer
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US9452920B2 (en) 2013-01-30 2016-09-27 Invensense, Inc. Microelectromechanical system device with internal direct electric coupling
US8564076B1 (en) 2013-01-30 2013-10-22 Invensense, Inc. Internal electrical contact for enclosed MEMS devices
US9287188B2 (en) 2013-02-05 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a seal ring structure
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US20140225206A1 (en) 2013-02-11 2014-08-14 Yizhen Lin Pressure level adjustment in a cavity of a semiconductor die
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9469527B2 (en) 2013-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS pressure sensor and microphone devices having through-vias and methods of forming same
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9119313B2 (en) 2013-04-25 2015-08-25 Intel Corporation Package substrate with high density interconnect design to capture conductive features on embedded die
JP6020341B2 (ja) 2013-05-09 2016-11-02 株式会社デンソー 容量式物理量センサおよびその製造方法
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9136233B2 (en) 2013-06-06 2015-09-15 STMicroelctronis (Crolles 2) SAS Process for fabricating a three-dimensional integrated structure with improved heat dissipation, and corresponding three-dimensional integrated structure
EP2813465B1 (en) 2013-06-12 2020-01-15 Tronic's Microsystems MEMS device with getter layer
CN104249991B (zh) 2013-06-26 2016-08-10 中芯国际集成电路制造(上海)有限公司 Mems器件及其制作方法
WO2015042700A1 (en) 2013-09-24 2015-04-02 Motion Engine Inc. Mems components and method of wafer-level manufacturing thereof
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US10615133B2 (en) 2013-09-27 2020-04-07 Intel Corporation Die package with superposer substrate for passive components
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9035451B2 (en) 2013-09-30 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer level sealing methods with different vacuum levels for MEMS sensors
US9617150B2 (en) 2013-10-09 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Micro-electro mechanical system (MEMS) device having a blocking layer formed between closed chamber and a dielectric layer of a CMOS substrate
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR20150058940A (ko) 2013-11-21 2015-05-29 삼성전자주식회사 히트 스프레더를 갖는 반도체 패키지
JP2015100886A (ja) 2013-11-26 2015-06-04 セイコーエプソン株式会社 電子デバイスおよび電子機器
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9773742B2 (en) * 2013-12-18 2017-09-26 Intel Corporation Embedded millimeter-wave phased array module
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
FR3023974B1 (fr) 2014-07-18 2016-07-22 Ulis Procede de fabrication d'un dispositif comprenant un boitier hermetique sous vide et un getter
US9620464B2 (en) * 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9331043B1 (en) 2015-01-30 2016-05-03 Invensas Corporation Localized sealing of interconnect structures in small gaps
JP5931246B1 (ja) 2015-04-03 2016-06-08 田中貴金属工業株式会社 パッケージの製造方法及び該方法により製造されるパッケージ
US9738516B2 (en) 2015-04-29 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure to reduce backside silicon damage
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9650241B2 (en) 2015-09-17 2017-05-16 Invensense, Inc. Method for providing a MEMS device with a plurality of sealed enclosures having uneven standoff structures and MEMS device thereof
WO2017100256A1 (en) 2015-12-08 2017-06-15 Skyworks Solutions, Inc. Transient liquid phase material bonding and sealing structures and methods of forming same
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10062656B2 (en) 2016-08-15 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Composite bond structure in stacked semiconductor structure
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US9834435B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11235969B2 (en) 2018-10-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS-MEMS integration with through-chip via process
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
WO2022094587A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
KR20230095110A (ko) 2020-10-29 2023-06-28 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
JP2024501017A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
WO2022147429A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
EP4272250A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Directly bonded structures
EP4272249A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature and method of forming same

Also Published As

Publication number Publication date
US11600542B2 (en) 2023-03-07
US20190198407A1 (en) 2019-06-27
TWI835598B (zh) 2024-03-11
TW202238860A (zh) 2022-10-01
WO2019125828A1 (en) 2019-06-27
US20230260858A1 (en) 2023-08-17
TWI800370B (zh) 2023-04-21
US10923408B2 (en) 2021-02-16
US20210134689A1 (en) 2021-05-06
TW201929156A (zh) 2019-07-16
TWI767099B (zh) 2022-06-11

Similar Documents

Publication Publication Date Title
TWI835598B (zh) 腔體封裝
US9461029B2 (en) Semiconductor packages and methods for fabricating the same
TWI506863B (zh) 具適用於量產之特徵的射頻積體電路封裝
KR101894227B1 (ko) 집적 안테나를 갖는 다중층 패키지
TWI594397B (zh) 具改良互連帶寬之堆疊半導體裝置封裝體
US8809116B2 (en) Method for wafer level packaging of electronic devices
JP2024055908A (ja) 集積デバイスパッケージ
TWI667713B (zh) 用於具有晶粒對中介層晶圓第一接合的半導體裝置封裝的方法和系統
JP5740048B2 (ja) 高性能ガラスベースの60GHz/MM波フェーズドアレイアンテナおよびその製造方法
TWI712144B (zh) 以包括化合物半導體裝置整合在封裝體上之晶粒組織上的高頻通訊裝置所設計之微電子裝置
JP2011211705A (ja) 導波管を含む集積回路パッケージアセンブリ
TW201828444A (zh) 以用於高頻通訊的三維(3d)堆疊超薄封裝體模組所設計之微電子裝置
TW201635481A (zh) 層疊積體電路封裝中的通孔中的被動元件
TW201417221A (zh) 可調變複合中介層
US20220157775A1 (en) Package process and package structure
US8569882B2 (en) Integrated circuit packaging system with collapsed multi-integration package and method of manufacture thereof
US11393777B2 (en) Microelectronic assemblies
US9490198B1 (en) Transmitting and receiving package
US20220352121A1 (en) Semiconductor package having passive support wafer
JP5984912B2 (ja) 積層型半導体の製造方法
KR20210096578A (ko) 안테나 모듈