TW201729348A - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TW201729348A
TW201729348A TW105137305A TW105137305A TW201729348A TW 201729348 A TW201729348 A TW 201729348A TW 105137305 A TW105137305 A TW 105137305A TW 105137305 A TW105137305 A TW 105137305A TW 201729348 A TW201729348 A TW 201729348A
Authority
TW
Taiwan
Prior art keywords
semiconductor layer
semiconductor
fin
source
channel region
Prior art date
Application number
TW105137305A
Other languages
English (en)
Other versions
TWI623061B (zh
Inventor
林群雄
吳忠政
迪亞茲 卡羅司
王志豪
謝文興
許義明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201729348A publication Critical patent/TW201729348A/zh
Application granted granted Critical
Publication of TWI623061B publication Critical patent/TWI623061B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種形成半導體元件之方法,包含形成從基板延伸之鰭,鰭具有源極/汲極區域及通道區域,其中鰭包括第一半導體層及在第一半導體層上的第二半導體層,第一半導體層具有第一組成,而第二半導體層具有與第一組成不同之第二組成。從鰭之源極/汲極區域移除第一半導體層使得在源極/汲極區域中第二半導體層之第一部分懸浮在空間中。在源極/汲極區域中磊晶生長第三半導體層,第三半導體層包覆第二半導體層之第一部分。

Description

半導體元件及其製造方法
本揭露是關於一種半導體元件及其製造方法,特別是關於一種水平式全包覆式閘極元件及其製造方法。
半導體積體電路(integrated circuit;IC)工業已歷經快速增長。積體電路材料之技術進步與設計已產生數代積體電路,其中與前代相比各代具有更小且更複雜之電路。在積體電路發展過程中,功能密度(即,每晶片面積互連元件之數量)一般增加而幾何尺寸(即,使用製造製程可產生之最小元件(或接線))減少。此縮小製程一般藉由增加生產效率並降低相關成本提供優勢。此縮小亦增加積體電路處理與製造之複雜性,對於要實現之此等進展而言,需要積體電路處理與製造之相似發展。
例如,已引入多閘極元件以藉由增加閘極通道耦合、降低開路電流、並降低短通道效應(short channel effect;SCE)來改良閘極控制。一此多閘極元件係水平式全包覆閘極(HORIZONTAL GATE-ALL-AROUND;HGAA)電晶體,其閘極結構圍繞其水平通道區域延伸,提供對全部側面上的通 道區域之接取。全包覆閘極電晶體與習知互補金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)製程相容,允許其大幅度縮小同時維持閘極控制並減輕短通道效應。然而,製造全包覆閘極電晶體可具有挑戰。例如,藉由當前方法形成全包覆閘極電晶體之源極與汲極(S/D)並非在所有方面令人滿意,尤其當元件節距較小,諸如40奈米(nm)或更小時。
本揭露之一實施例為一種形成半導體元件之方法,包含形成從基板延伸之鰭,鰭具有源極/汲極區域及通道區域,其中鰭包括第一半導體層及在第一半導體層上的第二半導體層,第一半導體層具有第一組成,而第二半導體層具有與第一組成不同之第二組成。從鰭之源極/汲極區域移除第一半導體層使得在源極/汲極區域中第二半導體層之第一部分懸浮在空間中。以及在源極/汲極區域中磊晶生長第三半導體層,第三半導體層包覆第二半導體層之第一部分。
本揭露之另一實施例為一種形成半導體元件之方法,包含形成從基板延伸之鰭,鰭具有複數個第一半導體層及複數個第二半導體層,第一及第二半導體層為交替堆疊。在鰭之通道區域上形成虛設閘極堆疊。從鰭之源極/汲極區域移除部分第一半導體層使得在源極/汲極區域中第二半導體層之複數個第一部分懸浮在空間中。在源極/汲極區域中磊晶生長第三半導體層,其中第三半導體層包覆第二半導體層之第一部 分。移除虛設閘極堆疊,由此暴露鰭之通道區域。從鰭之通道區域移除部分第一半導體層使得在通道區域中第二半導體層之複數個第二部分懸浮在一空間中。以及在鰭之通道區域上形成閘極堆疊,其中閘極堆疊包覆第二半導體層之第二部分。
本揭露之又一實施例為一種半導體元件,包含基板、鰭元件,以及閘極堆疊。鰭元件延伸自基板,其中鰭元件包含通道區域及在通道區域之相對面上的兩個源極與汲極區域,通道區域包括彼此間隔之複數個通道半導體層。源極/汲極區域之各者包含彼此間隔之複數個第一半導體層及包覆第一半導體層各者之第二半導體層。閘極堆疊配置於鰭元件之通道區域上並圍繞通道半導體層各者。
10‧‧‧方法
12‧‧‧操作
14‧‧‧操作
16‧‧‧操作
18‧‧‧操作
20‧‧‧操作
22‧‧‧操作
24‧‧‧操作
26‧‧‧操作
28‧‧‧操作
30‧‧‧操作
100‧‧‧元件
102‧‧‧基板
104‧‧‧鰭
104a‧‧‧源極/汲極區域
104b‧‧‧通道區域
106‧‧‧隔離結構
106’‧‧‧頂面
108‧‧‧半導體層
108’‧‧‧氧化層
110‧‧‧半導體層
111‧‧‧閘極堆疊
112‧‧‧多晶層
114‧‧‧蝕刻終止層
116‧‧‧硬遮罩層
118‧‧‧隔層
122‧‧‧半導體層
122'‧‧‧半導體層
124‧‧‧接觸蝕刻終止層
126‧‧‧層間介電層
128‧‧‧開口
129‧‧‧閘極堆疊
130‧‧‧介電層
132‧‧‧閘極金屬堆疊
134‧‧‧金屬填充層
200‧‧‧全包覆閘極元件
204‧‧‧鰭
206‧‧‧半導體層
A-A‧‧‧線段
B-B‧‧‧線段
C-C‧‧‧線段
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本發明之態樣。應注意,根據工業中的標準實務,各特徵並非按比例繪製。事實上,出於論述清晰之目的,可任意增加或減小各特徵之尺寸。
圖1A及1B為本揭露之部分實施例之形成半導體元件之方法的流程圖。
圖2為根據圖1A及1B中方法之實施例之製造階段中半導體元件的局部透視圖。
圖3A、4A、5A、6A、7A、8A、9A、10A、11A、及12A為沿著圖2之線段A-A所截取之半導體元件的橫截面圖。
圖3B、4B、5B、6B、7B、8B、9B、10B、11B、及12B為沿著圖2之線段B-B所截取之半導體元件的橫截面圖。
圖3C、4C、5C、6C、7C、8C、9C、10C、11C及12C為沿著圖2之線段C-C所截取之半導體元件的橫截面圖。
圖8D、8E、8F、及8G為本揭露之部分實施例之半導體元件之部分源極與汲極特徵。
以下揭示內容提供許多不同實施例或實例,以便實施所提供標的之不同特徵。下文描述組件及排列之特定實例以簡化本發明。當然,此些實例僅為示例且並不意欲為限制性。舉例而言,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。另外,本發明可在各實例中重複元件符號及/或字母。此重複係出於簡明性及清晰之目的,且本身並不指示所論述之各實施例及/或配置之間的關係。
進一步地,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中裝置之不同 定向。設備可經其他方式定向(旋轉90度或處於其他定向)且因此可類似解讀本文所使用之空間相對性描述詞。
本揭露一般而言是關於半導體源極與其形成方法。更特定言之,本案係關於全包覆閘極(gate-all-around;GAA)元件。全包覆閘極元件包括在通道區域之四側面上(例如,圍繞部分通道區域)形成的具有其閘極結構之任何元件、或其部分。全包覆閘極元件之通道區域可包括奈米線通道、條形通道、及/或其他適宜通道構型。在實施例中,全包覆閘極元件之通道區域可具有垂直間隔之多個水平奈米線或水平條,使全包覆閘極元件成為堆疊之水平全包覆閘極(stacked horizontal GAA;S-HGAA)元件。本文提及之全包覆閘極元件可包括p-型金屬氧化半導體全包覆閘極元件或n-型金屬氧化半導體全包覆閘極元件。進一步地,全包覆閘極元件可具有與單一、相連閘極結構、或多閘極結構有關的一或更多通道區域(例如,奈米線)。一般技術者可瞭解獲益於本案之態樣的半導體元件之其他實例。
圖1A及1B為本揭露之部分實施例之形成半導體元件100之方法10的流程圖。方法10僅係實例,且除在申請專利範圍中明確指明外並非意欲限制本案。可在方法10之前、期間、及之後提供額外操作,且可針對此方法之額外實施例替代、消除、或移動一些描述之操作。下文結合圖2至12C描述方法10。圖2係在製造階段中半導體元件100的局部透視圖。圖3A至12A、3B至12B、及3C至12C係在製造製程之各階段 半導體元件100分別沿圖2之「線段A-A」、「線段B-B」、「線段C-C」的橫截面圖。
出於說明之目的提供半導體元件100且並非必須將本案之實施例限制於任何數量元件、任何數量區域、或任何結構或區域之構型。進一步而言,如在圖2至12C中顯示之半導體元件100可為在處理積體電路、或其部分期間製造之中間元件,此元件可包含隨機存取記憶體及/或邏輯電路、被動組件(諸如電阻器、電容器、及電感器)及主動組件,諸如p-型場效電晶體、n-型場效電晶體、多閘極場效電晶體(諸如鰭式場效電晶體)、金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor;MOSFET)、互補金屬氧化物半導體電晶體、雙極電晶體、高壓電晶體、高頻率電晶體、其他記憶單元、及其組合。
於方法10之操作12中(圖1A),形成從基板102延伸之一個或更多之鰭104,且各鰭104包括半導體層108及110之堆疊。參看圖2,在本實施例中,元件100包括兩個鰭104,且各鰭104包括兩個半導體層108及兩個半導體層110。沿「y」方向縱向定向此兩個鰭104且沿「x」方向並排排列。鰭104之較低部分由隔離結構106分離。以交替方式(例如,第二半導體層110置於第一半導體層108上,第一半導體層108置於第二半導體層110上,而第二半導體層110置於第一半導體層108上,等等)垂直堆疊(沿「z」方向)半導體層108及110。在各實施例中,元件100可包括任何數量鰭104,且鰭104可包括任何數量交替堆疊之半導體層108與110。
仍參看圖2,鰭104各者包括兩個源極/汲極區域104a及在兩個源極/汲極區域104a間之通道區域104b。穿過源極/汲極區域104a之一有「線段A-A」,穿過通道區域104b有「線段B-B」,及縱向穿過鰭104之一有「線段C-C」。下方以圖2、3A、3B、及3C進行討論。
在部分實施例中,基板102可係半導體基板,諸如矽基板。基板102可包括多層,包括在半導體基板上形成之導電或絕緣層。基板102可包括多種摻雜配置。例如,可在針對不同元件類型(例如,n-型場效電晶體、p-型場效電晶體)設計之區域中在基板102上形成不同摻雜分佈(例如,n阱、p阱)。基板102亦可包括其他半導體諸如鍺、碳化矽(SiC)、鍺矽(SiGe)、或金剛石。或者,基板102可包括化合物半導體及/或合金半導體。進一步地,基板102可視情況包括磊晶層,出於效能增強之目的可經應變,可包括絕緣體上矽結構,及/或具有其他適宜增強特徵。
圖3A及3B中,兩個鰭104沿著x方向具有間距S。在實施例中,為獲得緻密元件整合,將間距S設計為小於50nm,諸如在從約10nm至約30nm之範圍。隔離結構106可由氧化矽、氮化矽、氮氧化矽、摻雜氟之矽酸鹽玻璃、低介電常數(low k)材料、及/或其他適宜絕緣材料形成。隔離結構106可為淺溝槽隔離(shallow trench isolation;STI)特徵。
半導體層108與110可具有不同厚度。不同層之間的半導體層108可具有不同之厚度。不同層之半導體層110可具有不同之厚度。半導體層108與110各者之厚度可從數奈米 至數十奈米變化。半導體層108之第一層(部分嵌入隔離結構106中)與其他半導體層108及110相比可具有更大厚度。在實施例中,在隔離結構106上延伸之半導體層108各者具有從約5nm至約20nm變化之厚度,而半導體層110各者具有從約5nm至約20nm變化之厚度。
兩個半導體層108與110具有不同組成。在各實施例中,兩個半導體層108與110提供不同氧化速度及/或不同蝕刻選擇性。在部分實施例中,半導體層108包括鍺矽(SiGe),而半導體層110包括矽(Si)。此實施例進一步而言,半導體層110可係未經摻雜或大體上無摻雜劑(即,具有約0cm-3至約1x1017cm-3濃度之雜質摻雜劑),其中例如,當形成半導體層110並不刻意進行摻雜。或者,半導體層110可刻意進行摻雜。例如,半導體層110可摻雜用於形成p-型通道之p-型摻雜劑諸如硼(B)、鋁(Al)、銦(In)、及鎵(Ga),或用於形成n-型通道之n-型摻雜劑諸如磷(P)、砷(As)、銻(Sb)。進一步而言,半導體層108可包括以莫耳比計超過25%之鍺。例如,半導體層108可包括以莫耳比計約25%至50%之鍺。進一步而言,各半導體層108可具有不同組成,且各半導體層110其中可具有不同組成。
在各實施例中,半導體層108與110之任一者可包括其他材料諸如鍺,化合物半導體諸如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦,合金半導體諸如磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(InGaAs)、磷化鎵銦(GaInP),及/或磷砷化銦鎵 (GaInAsP),或其組合。可基於不同氧化速度及/或蝕刻選擇性來選擇半導體層108與110之材料。如上文論述,半導體層108與110可係摻雜或未經摻雜。
操作12可包括各種製程諸如沉積、磊晶、光微影及蝕刻。進一步地,操作12可以不同順序形成隔離結構106及鰭104。在實施例中,在其形成鰭104之前先形成隔離結構106(隔離優先流程)。在另一實施例中,在其形成隔離結構106之前先形成鰭104(鰭優先流程)。下文藉由實例之方式進一步論述此兩個實施例。
在隔離優先流程中,首先,操作12經由光微影製程在基板102上形成遮罩元件。此光微影製程可包括在基板102上形成光阻,將此光阻暴露於界定各幾何形狀之圖案,進行後曝光烘焙製程,並顯影此光阻以形成遮罩元件。
隨後,操作12經由此遮罩元件蝕刻基板102以在其中形成第一溝槽。蝕刻製程可包括一或更多乾式蝕刻製程、濕式蝕刻製程、及其他適宜蝕刻技術。例如,乾式蝕刻製程可實施含氧氣體、含氟氣體(例如,四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或六氟乙烷(C2F6))、含氯氣體(例如,氯氣(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)及/或三氯化硼(BCl3))、含溴氣體(例如,溴化氫(HBr)及/或三溴甲烷(CHBr3))、含碘氣體、其他適宜氣體及/或電漿、及/或其組合。例如,濕式蝕刻製程可包括在稀釋之氫氟酸(DHF);氫氧化鉀(KOH)溶液;氨水;含有氫氟酸(HF)、硝酸(HNO3)、及/或乙酸(CH3COOH)之溶液;或其他適宜濕 蝕刻劑中蝕刻。此一或更多蝕刻製程用於在基板102中形成第一溝槽。
隨後,操作12使用介電材料諸如氧化矽填充第一溝槽,並進行化學機械平坦化(chemical mechanical planarization;CMP)製程以使介電材料及基板102之頂面平坦。此介電材料可藉由化學氣相沉積(chemical vapor deposition;CVD)、電漿輔助化學氣相沉積(plasma enhanced CVD;PECVD)、物理氣相沉積(physical vapor deposition;PVD)、熱氧化、或其他技術形成。將此介電材料層稱為隔離結構106,用於隔離各部分基板102。
接著,操作12經由選擇性蝕刻製程蝕刻基板102而隔離結構106大體上保持未改變,由此在各部分隔離結構106間形成第二溝槽。將此第二溝槽蝕刻至所需深度以用於在其中生長鰭104。此蝕刻製程可係乾式蝕刻製程、濕式蝕刻製程、或其他適宜蝕刻技術。
隨後,操作12在第二溝槽中磊晶生長半導體層108及110。例如,可藉由分子束磊晶(molecular beam epitaxy;MBE)製程、化學氣相沉積製程諸如金屬有機化學氣相沉積(metal organic CVD;MOCVD)製程、及/或其他適宜磊晶生長製程生長半導體層108及110。在一些實施例中,磊晶生長層,諸如半導體層108,具有與基板102相同之材料。在一些實施例中,半導體層108及110具有與基板102不同之材料。半導體層108與110之材料的更多實施例已於上述討論。此後,可進行化學機械平坦化製程以使元件100之頂面平坦。
隨後,如在圖2及3A至3C中,操作12對隔離結構106進行開槽以在隔離結構106之頂面106’上形成延伸之鰭104。在一些實施例中,控制開槽的深度(例如,藉由控制蝕刻時間)以便獲得經暴露之鰭104上部的所需深度。隔離結構106之剩餘部分成為隔離結構106。
在鰭優先流程中,操作12可大體上包括如上文描述但以不同順序的相同或相似製程。因此,簡要地描述此操作。首先,操作12在基板102上磊晶生長半導體層。接著,操作12經由光微影製程在半導體層上形成遮罩元件。隨後,操作12經由遮罩元件蝕刻半導體層以在其中形成溝槽。半導體層之剩餘部分成為包括半導體層108及110之鰭104。隨後,操作12將介電材料諸如氧化矽沉積至溝槽中。可進行化學機械平坦化製程以使元件100之頂面平坦。其後,開槽介電材料以形成隔離結構106。
於方法10之操作14中(圖1A),在鰭104與隔離結構106上形成虛設閘極堆疊111。在本實施例中,將在後續閘極取代製程中移除虛設閘極堆疊111。參看圖4A至4C,虛設閘極堆疊111於通道區域104b接合鰭104。虛設閘極堆疊111可包括單層或多層材料。在本實施例中,虛設閘極堆疊111包括多晶層112(或多晶矽層)、介電蝕刻終止層114、及介電硬遮罩層116。在實施例中,虛設閘極堆疊111進一步包括在多晶層112下方之界面層(例如,氧化矽)。蝕刻終止層114可包括氧化矽、氮化矽、氮氧化矽、或其他介電材料。硬遮罩層116可包括一或更多層材料諸如氧化矽及/或氮化矽。可藉由適宜沉積製程 諸如低壓化學氣相沉積(low pressure CVD;LPCVD)及電漿輔助化學氣相沉積形成多晶層112。可藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積、及/或其他適宜方法形成蝕刻終止層114及硬遮罩層116。在實施例中,首先將虛設閘極堆疊111之各層沉積為毯敷層,並隨後經由一或更多光微影及蝕刻製程圖案化以形成虛設閘極堆疊111。
於方法10之操作16中(圖1A),在虛設閘極堆疊111之側壁上形成閘極隔層118。在實施例中,操作16包括分別在圖5A至5C及圖6A至6C中說明之沉積製程及蝕刻製程。參看圖5A至5C,將閘極隔層118沉積在元件100上,覆蓋其上各特徵。閘極隔層118可包括一或更多介電材料諸如氮化矽、氧化矽、碳化矽、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)、其他材料、或其組合。隔層118可包括單層或多層結構。在本實施例中,隔層118具有數奈米之厚度。可藉由化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他適宜方法形成隔層118。參看圖6A至6C,藉由各向異性蝕刻製程蝕刻隔層118以從虛設閘極堆疊111之頂面及從鰭104之頂面與側面移除部分隔層118。在虛設閘極堆疊111側面上之部分隔層118大體上保留並成為閘極隔層118。在實施例中,各向異性蝕刻製程係乾式(例如,電漿)蝕刻製程。
於方法10之操作18中(圖1A),從源極/汲極區域104a移除半導體層108、或其部分以形成空間120。參看圖7A至7C,由虛設閘極堆疊111覆蓋或嵌入隔離結構106中的部分 半導體層108未經蝕刻或輕微蝕刻。進一步地,藉由操作18輕微蝕刻或未蝕刻半導體層110。因此,在源極/汲極區域104a中之部分半導體層110變為懸浮在空間120中(參看圖7A及7C)。在以下論述中,懸浮在空間120中之部分半導體層110亦稱為源極/汲極區域半導體層110。
在實施例中,藉由經調整以移除半導體層108同時半導體層110大體上保持未改變的選擇性濕式蝕刻製程來蝕刻半導體層108。在一些實施例中,此選擇性濕式蝕刻製程可包括氟化氫(HF)或氨水(NH4OH)蝕刻劑。在其中半導體層108包括矽鍺(SiGe)且半導體層110包括矽(Si)的實施例中,選擇性移除半導體層108的步驟可包括矽鍺(SiGe)氧化製程接著移除氧化矽鍺(SiGeOx)。例如,矽鍺氧化製程可包括形成並圖案化各遮罩層,以控制對半導體層108之氧化。在其他實施例中,由於半導體層108與110之不同組成,故矽鍺氧化製程為選擇性氧化。在一些實例中,可藉由將元件100暴露於濕式氧化製程、乾式氧化製程、或其組合進行矽鍺氧化製程。其後,藉由諸如氨水(NH4OH)或稀釋之氫氟酸(HF)之蝕刻劑移除具有氧化矽鍺(SiGeOx)之經氧化之半導體層108。
在各實施例中,半導體層108與110提供不同氧化速度及/或不同蝕刻選擇性,使藉由操作18選擇性移除半導體層108成為可能。在實施例中,藉由操作18輕微蝕刻半導體層110以在源極/汲極區域104a中獲得所需尺寸及形狀。例如,所得源極/汲極區域半導體層110可具有條狀形狀(如在圖7A與7C中顯示)、桿式形狀(未顯示)、或其他形狀。
於方法10之操作20中(圖1A),在源極/汲極區域104a中磊晶生長半導體層122。參看圖8A至8C,半導體層122包覆各源極/汲極區域半導體層110並在其全部四面上直接接觸源極/汲極區域半導體層110。在圖8A顯示之實施例中,半導體層122及110共同形成垂直(沿「z」方向)條形形狀。在圖8D顯示之另一實施例中,半導體層122可包括複數個部分及各部分包覆各源極/汲極區域半導體層110。進一步地,半導體層122之各部分可具有菱形或另一形狀。在圖8E顯示之另一實施例中,半導體層122之複數個部分可合併為一大物件。在一些實施例中,半導體層122之寬度(沿「x」方向)從數奈米至約30nm變化。
在實施例中,半導體層122包括與源極/汲極區域半導體層110相同之材料。例如,二者均包括矽。在替代實施例中,半導體層122與110可包括不同材料或組成。在各實施例中,半導體層122可包括半導體材料諸如矽或鍺;化合物半導體諸如鍺化矽、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦;合金半導體諸如磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(InGaAs)、磷化鎵銦(GaInP),及/或磷砷化銦鎵(GaInAsP),或其組合。
在實施例中,藉由分子束磊晶製程、化學氣相沉積製程、及/或其他適宜磊晶生長製程生長半導體層122。在又一實施例中,半導體層122原位或異位摻雜有n-型摻雜劑或p-型摻雜劑。例如,在一些實施例中,半導體層122包括摻雜有硼之矽鍺(SiGe)以形成用於p-型場效電晶體的源極/汲極區域 特徵。在一些實施例中,半導體層122包括摻雜有磷的矽以形成用於n-型場效電晶體的源極/汲極區域特徵。此等實施例進一步而言,半導體層122可具有莫耳比約10%至約70%的鍺。在實施例中,半導體層122為高摻雜,藉此與隨後在元件100中形成的源極/汲極區域接觸金屬形成歐姆接觸(ohmic contact)。
在本實施例中,半導體層122與110共同充當用於元件100之源極/汲極區域特徵。在實施例中,半導體層122與110包括相同類型摻雜劑(例如,兩者均為n-型摻雜或p-型摻雜),但與在源極/汲極區域半導體層110中相比半導體層122中之摻雜劑之濃度高。在又一實施例中,半導體層122與110可包括相同類型摻雜劑但可具有不同摻雜劑種類。
本案之實施例提供在形成全包覆閘極元件之源極/汲極區域特徵方面優於其他方法的優點。在圖8F顯示之方法中,在其各自源極/汲極區域中充分蝕刻鰭204(與鰭104類似)且隨後在如用於全包覆閘極元件200之源極/汲極區域特徵的各自源極/汲極區域中生長半導體層206。由於在不同結晶方向有不同生長速度,半導體層206各者具有菱形形狀,此為在半導體層206中材料之固有性質。因此,兩個鰭204間的最小間距S1經限制,以使半導體層206足夠大的同時防止相鄰之源極/汲極區域特徵彼此合併。相比之下,本案之實施例使用源極/汲極區域半導體層110作為基材生長半導體層122(源極/汲極區域特徵),可以限制半導體層122之橫向生長。此舉在圖8G中經由其中鰭104包括五個源極/汲極區域半導體層110的實施 例說明。參看圖8G,在磊晶生長中間階段,各源極/汲極區域半導體層110生長半導體層122'。藉由各自源極/汲極區域半導體層110之尺寸與形狀限制半導體層122'之橫向生長。生長出之各半導體層122'可合併為具有垂直條狀形狀的較大半導體層122。由於半導體層122'之橫向生長受限於半導體層110之尺寸與形狀,可使在兩個相鄰鰭104間之最小間距S2小於S1,這有利地增加半導體元件之整合。
於方法10之操作22(圖1B)中,在半導體層122與隔離結構106上形成層間介電(interlayer dielectric;ILD)層126。參看圖9A至9C,在本實施例中,在形成層間介電層126之前於半導體層122與隔離結構106上形成接觸蝕刻終止(contact etch stop;CES)層124。接觸蝕刻終止層124可包括介電材料諸如氮化矽、氧化矽、氮氧化矽、及/或其他材料。可藉由原子層沉積、電漿輔助化學氣相沉積、或其他適宜沉積或氧化製程形成接觸蝕刻終止層124。層間介電層126可包括材料諸如四正矽酸酯氧化物、未經摻雜之矽酸鹽玻璃、或經摻雜之氧化矽,諸如硼磷矽玻璃、熔融矽石玻璃、磷矽酸玻璃、硼摻雜之矽玻璃、及/或其他適宜介電材料。可藉由電漿輔助化學氣相沉積製程、可流動化學氣相沉積(flowable CVD;FCVD)製程、或其他適宜沉積技術沉積層間介電層126。在實施例中,繼沉積接觸蝕刻終止層124與層間介電層126之後,進行化學機械平坦化製程以使元件100之頂面平坦,亦移除硬遮罩層116及蝕刻終止層114(圖8B及8C)。因此,多晶層112從元件100之頂面暴露。
於方法10之操作24中(圖1B),移除虛設閘極堆疊111以暴露鰭104之通道區域104b。參看圖10A至10C,移除包括多晶層112及其下之任何其他層的虛設閘極堆疊111(參看圖9B與9C)以形成開口128。在開口128中暴露鰭104之通道區域104b。在實施例中,操作24包括一或更多蝕刻製程,諸如濕式蝕刻、乾式蝕刻、或其他適宜蝕刻技術。
於方法10之操作26中(圖1B),經由開口128移除部分半導體層108。參看圖11A至11C,移除在通道區域104b中之半導體層108、或其部分。因此,在通道區域104b中部分半導體層110懸浮在開口128中。在以下論述中,懸浮在開口128中的部分半導體層110亦稱為通道半導體層110。操作26中,通道半導體層110經輕微蝕刻或未蝕刻。在本實施例中,輕微蝕刻通道半導體層110以形成桿式形狀(例如,奈米線)(參看圖11B)。在各實施例中,通道半導體層110(圖11B)及源極/汲極區域半導體層110(圖11A)可具有相同或不同橫截面。例如,其中的任一或二者在「x-z」平面上具有矩形、圓形、或其他幾何形狀。
在實施例中,藉由操作26選擇性移除半導體層108可使用上文關於操作18論述之相同技術。在實施例中,出於隔離之目的氧化半導體層108之剩餘部分以成為氧化層108’。此實施例進一步而言,氧化製程可包括濕式氧化製程、乾式氧化製程、或其組合。在一實例中,將元件100暴露於使用水汽或蒸氣作為氧化劑的濕式氧化製程中。在其中半導體層108包括鍺化矽之一實例中,氧化層108’包括矽或氧化鍺矽。
於方法10之操作28中(圖1B),在鰭104之通道區域104b上形成閘極堆疊129。參看圖12A至12C,閘極堆疊129填充開口128(圖11B與11C),並包覆各通道半導體層110(例如,奈米線)。在本實施例中,閘極堆疊129包括介電層130,此介電層可由在開口128之內表面上的一或多層介電材料組成並直接包覆各通道半導體層110。閘極堆疊129進一步包括由在介電層130上之一或多層組成的閘極金屬堆疊132、及在此閘極金屬堆疊132上的金屬填充層134。如在圖12B中顯示,介電層130及閘極金屬堆疊132包覆各通道半導體層110(例如,奈米線)以在其中形成電晶體通道。控制層介電層130及閘極金屬堆疊132之厚度使得相鄰電晶體通道之閘極金屬堆疊132彼此不接觸。參看圖12B及12C,閘極堆疊129包覆垂直堆疊之水平定向通道半導體層110。因此,元件100為堆疊之水平全包覆閘極元件。參看圖12A,元件100之源極/汲極區域包括彼此間隔之多個源極/汲極區域半導體層110,更包括包覆多個半導體層110各者的半導體層122。
在部分實施例中,介電層130可包括介電材料諸如氧化矽或氮氧化矽,及可藉由化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他適宜方法形成。介電層130亦可包括高介電常數層諸如氧化鉿、氧化鋯、氧化鑭、氧化鈦、氧化釔、鈦化鍶、其他適宜金屬氧化物、或其組合;以及可藉由原子層沉積及/或其他適宜方法形成。在部分實施例中,閘極金屬堆疊132可包括功函數金屬層。功函數金屬層可係p-型功函數金屬層或n-型功函數金屬層。p-型功函數金屬層包括選自 (但不限於)氮化鈦、氮化鉭、釕、鉬、鎢、鉑、或其組合之群的金屬。n-型功函數金屬層包括選自(但不限於)鈦、鋁、碳化鉭、氮碳化鉭、氮矽化鉭、或其組合之群的金屬。p-型或n-型功函數金屬層可包括複數個層並可藉由化學氣相沉積、物理氣相沉積、及/或其他適宜製程沉積。金屬填充層134可包括鋁、鎢、鈷、銅、及/或其他適宜材料,並可藉由化學氣相沉積、物理氣相沉積、鍍覆及/或其他適宜製程形成。在實施例中,繼沉積介電層130、閘極金屬堆疊132、及金屬填充層134之後,進行化學機械平坦化製程以使元件100之頂面平坦。
於方法10之操作30中(圖1B),進行進一步處理以完成堆疊式全包覆閘極元件100之製造。例如,其可在基板102上形成接觸開口、接觸金屬,以及各觸點、孔、導線及多層互連特徵(例如,金屬層及層間介電層),經配置以連接各特徵來形成可包括一或更多多閘極元件的功能電路。特定言之,其可形成穿透層間介電層126並接觸半導體層122的接觸金屬。
儘管並不意欲限制,本案之一或更多實施例為半導體元件及其形成製程提供眾多優點。例如,本案之實施例形成用於堆疊之水平式全包覆閘極元件的源極與汲極特徵。可形成具有狹窄剖面之源極/汲極區域特徵以納入緻密之鰭間間隔。這有利地增加堆疊之水平式全包覆閘極元件的整合位準。進一步地,本案之實施例可用於形成具有任何數量之堆疊通道的水平式全包覆閘極元件,提供較大靈活性及縮放性。仍進一步地,本案之實施例可整合到現存互補式金屬氧化物半導體製造流程中,提供改良之製程窗口。
本揭露之一實施例為一種形成半導體元件之方法,包含形成從基板延伸之鰭,鰭具有源極/汲極區域及通道區域,其中鰭包括第一半導體層及在第一半導體層上的第二半導體層,第一半導體層具有第一組成,而第二半導體層具有與第一組成不同之第二組成。從鰭之源極/汲極區域移除第一半導體層使得在源極/汲極區域中第二半導體層之第一部分懸浮在空間中。在源極/汲極區域中磊晶生長第三半導體層,第三半導體層包覆第二半導體層之第一部分。
本揭露之另一實施例為一種形成半導體元件之方法,包含形成從基板延伸之鰭,鰭具有複數個第一半導體層及複數個第二半導體層,第一及第二半導體層為交替堆疊。在鰭之通道區域上形成虛設閘極堆疊。從鰭之源極/汲極區域移除部分第一半導體層使得在源極/汲極區域中第二半導體層之複數個第一部分懸浮在空間中。在源極/汲極區域中磊晶生長第三半導體層,其中第三半導體層包覆第二半導體層之第一部分。移除虛設閘極堆疊,由此暴露鰭之通道區域。從鰭之通道區域移除部分第一半導體層使得在通道區域中第二半導體層之複數個第二部分懸浮在一空間中。在鰭之通道區域上形成閘極堆疊,其中閘極堆疊包覆第二半導體層之第二部分。
本揭露之又一實施例為一種半導體元件,包含基板、鰭元件,以及閘極堆疊。鰭元件延伸自基板,其中鰭元件包含通道區域及在通道區域之相對面上的兩個源極與汲極區域,通道區域包括彼此間隔之複數個通道半導體層。源極/汲極區域之各者包含彼此間隔之複數個第一半導體層及包覆第 一半導體層各者之第二半導體層。閘極堆疊配置於鰭元件之通道區域上並圍繞通道半導體層各者。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本發明之態樣。熟習此項技術者應瞭解,可輕易使用本發明作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本發明之精神及範疇,且可在不脫離本發明之精神及範疇的情況下產生本文的各種變化、替代及更改。
100‧‧‧半導體元件
102‧‧‧基板
106‧‧‧隔離結構
110‧‧‧半導體層
129‧‧‧閘極堆疊
130‧‧‧介電層
132‧‧‧閘極金屬堆疊
134‧‧‧金屬填充層

Claims (10)

  1. 一種形成半導體元件之方法,包含:形成從一基板延伸之一鰭,該鰭具有一源極/汲極區域及一通道區域,其中該鰭包含一第一半導體層及在該第一半導體層上的一第二半導體層,該第一半導體層具有一第一組成,及該第二半導體層具有與該第一組成不同之一第二組成;從該鰭之該源極/汲極區域移除該第一半導體層使得在該源極/汲極區域中該第二半導體層之一第一部分懸浮在一空間中;以及在該源極/汲極區域中磊晶生長一第三半導體層,該第三半導體層包覆該第二半導體層之該第一部分。
  2. 如請求項1之方法,其中該第三半導體層與該第二半導體層相比摻雜有一較高濃度摻雜劑。
  3. 如請求項1之方法,更包含:從該鰭之該通道區域移除該第一半導體層使得該第二半導體層之一第二部分懸浮在一空間中;以及在該鰭之該通道區域上形成一閘極堆疊,其中該閘極堆疊之一部分包覆該第二半導體層之該第二部分。
  4. 如請求項1之方法,在從該鰭之該源極/汲極區域移除該第一半導體層之前,更包含:形成覆蓋該鰭之該通道區域的一虛設閘極堆疊。
  5. 如請求項4之方法,在磊晶生長該第三半導體層之後,更包含:在該第三半導體層上形成一層間介電層;移除該虛設閘極堆疊以暴露該鰭之該通道區域;從該鰭之該通道區域移除該第一半導體層使得該第二半導體層之一第二部分懸浮在一空間中;以及在該鰭之該通道區域上形成一閘極堆疊,其中一部分該閘極堆疊包覆該第二半導體層之該第二部分。
  6. 一種形成半導體元件之方法,包含:形成從一基板延伸之一鰭,該鰭具有複數個第一半導體層及複數個第二半導體層,該第一及第二半導體層為交替堆疊;在該鰭之一通道區域上形成一虛設閘極堆疊;從該鰭之複數個源極/汲極區域移除部分該第一半導體層使得在該源極/汲極區域中該第二半導體層之複數個第一部分懸浮在一空間中;在該源極/汲極區域中磊晶生長一第三半導體層,其中該第三半導體層包覆該第二半導體層之該些第一部分;移除該虛設閘極堆疊,由此暴露該鰭之該通道區域;從該鰭之該通道區域移除部分該些第一半導體層使得在該通道區域中該些第二半導體層之複數個第二部分懸浮在一空間中;以及在該鰭之該通道區域上形成一閘極堆疊,其中該閘極堆疊包覆該些第二半導體層之該些第二部分。
  7. 如請求項6之方法,在該移除該虛設閘極堆疊之前,更包含:在該第三半導體層上形成一層間介電層。
  8. 如請求項6之方法,在從該鰭之該些源極/汲極區域移除該部分該第一半導體層之前,更包含:在該虛設閘極堆疊之側壁上形成一閘極隔層。
  9. 一種半導體元件,包含:一基板;一鰭元件,延伸自該基板,其中:該鰭元件包含一通道區域及在該通道區域之相對面上的兩個源極與汲極區域,該通道區域包含彼此間隔之複數個通道半導體層;以及該些源極/汲極區域之各者包含彼此間隔之複數個第一半導體層及包覆該些第一半導體層各者之一第二半導體層;以及一閘極堆疊,配置於該鰭元件之該通道區域上並圍繞該些通道半導體層各者。
  10. 如請求項9之半導體元件,其中:該些第一半導體層包含一第一半導體材料且實質上無摻雜劑;以及 該第二半導體層包含該第一半導體材料且摻雜有一n-型摻雜劑與一p-型摻雜劑之其中一者。
TW105137305A 2015-11-16 2016-11-15 半導體元件及其製造方法 TWI623061B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/942,696 2015-11-16
US14/942,696 US9754840B2 (en) 2015-11-16 2015-11-16 Horizontal gate-all-around device having wrapped-around source and drain

Publications (2)

Publication Number Publication Date
TW201729348A true TW201729348A (zh) 2017-08-16
TWI623061B TWI623061B (zh) 2018-05-01

Family

ID=58692037

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137305A TWI623061B (zh) 2015-11-16 2016-11-15 半導體元件及其製造方法

Country Status (3)

Country Link
US (4) US9754840B2 (zh)
CN (1) CN107039278B (zh)
TW (1) TWI623061B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109817618A (zh) * 2017-11-22 2019-05-28 格芯公司 互补场效应晶体管中的外延结构
TWI726280B (zh) * 2018-08-17 2021-05-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11177259B2 (en) 2019-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10115807B2 (en) * 2015-11-18 2018-10-30 Globalfoundries Inc. Method, apparatus and system for improved performance using tall fins in finFET devices
DE112016006574T5 (de) * 2016-03-11 2018-11-29 Intel Corporation Techniken zum ausbilden von transistoren, die gruppe-iii-v-material-nanodrähte aufweisen, welche gruppe-iv-materialopfreschichten verwenden
US9716063B1 (en) 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9859215B1 (en) * 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US9761491B1 (en) * 2016-12-06 2017-09-12 Globalfoundries Inc. Self-aligned deep contact for vertical FET
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10332965B2 (en) * 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10535780B2 (en) * 2017-05-08 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including an epitaxial layer wrapping around the nanowires
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
EP3425673A1 (en) * 2017-07-04 2019-01-09 IMEC vzw Germanium nanowire fabrication
US10269571B2 (en) * 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10141231B1 (en) 2017-08-28 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
US10403550B2 (en) * 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102017126225A1 (de) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
SG11202001450UA (en) 2017-09-12 2020-03-30 Applied Materials Inc Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
SG11202006867QA (en) 2018-01-24 2020-08-28 Applied Materials Inc Seam healing using high pressure anneal
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10804162B2 (en) * 2018-09-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel gate all around transistor device and fabrication methods thereof
CN110970432A (zh) * 2018-09-28 2020-04-07 芯恩(青岛)集成电路有限公司 全包围栅纳米片互补反相器结构及其制造方法
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11264506B2 (en) * 2018-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US10833079B2 (en) 2019-01-02 2020-11-10 International Business Machines Corporation Dual transport orientation for stacked vertical transport field-effect transistors
KR20200084532A (ko) * 2019-01-03 2020-07-13 삼성전자주식회사 복수의 채널층을 갖는 반도체 소자 및 그 제조 방법
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
US11088034B2 (en) * 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
CN112018113A (zh) 2019-05-29 2020-12-01 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US11430892B2 (en) 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
KR20200141697A (ko) 2019-06-11 2020-12-21 삼성전자주식회사 반도체 장치
KR20200142765A (ko) 2019-06-13 2020-12-23 삼성전자주식회사 반도체 소자
CN110265294B (zh) * 2019-06-17 2021-06-15 武汉新芯集成电路制造有限公司 一种提高浮栅厚度均匀性的方法及一种半导体结构
US11205650B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Input/output semiconductor devices
US11205711B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective inner spacer implementations
TW202129061A (zh) 2019-10-02 2021-08-01 美商應用材料股份有限公司 環繞式閘極輸入/輸出工程
DE102020110792B4 (de) * 2019-12-27 2022-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungsstruktur mit Finnenstruktur und mehreren Nanostrukturen und Verfahren zum Bilden derselben
US11362096B2 (en) 2019-12-27 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11302692B2 (en) * 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11430867B2 (en) 2020-01-24 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Channel mobility improvement
US11264508B2 (en) 2020-01-24 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage prevention structure and method
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11404417B2 (en) 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11152477B2 (en) 2020-02-26 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with different threshold voltages
US11855225B2 (en) 2020-02-27 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial bridge feature and methods of forming the same
US11195937B2 (en) 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
DE102020119940A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfachgatetransistorstruktur
US11424338B2 (en) 2020-03-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal source/drain features
US11532711B2 (en) 2020-04-16 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. PMOSFET source drain
US11417766B2 (en) 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11289584B2 (en) * 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
US11670723B2 (en) 2020-05-12 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon channel tempering
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11532627B2 (en) 2020-05-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11948987B2 (en) 2020-05-28 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned backside source contact structure
US11232988B2 (en) 2020-05-29 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Wavy profile mitigation
US11532626B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reduction of gate-drain capacitance
US11508736B2 (en) 2020-06-08 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming different types of devices
US11417777B2 (en) * 2020-06-11 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Enlargement of GAA nanostructure
US11222892B2 (en) 2020-06-15 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail and methods of forming the same
US11158634B1 (en) 2020-06-15 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Backside PN junction diode
US11637109B2 (en) 2020-06-29 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature separation structure
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11961763B2 (en) 2020-07-13 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal gate for multigate device and method of forming thereof
US11245036B1 (en) 2020-07-21 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Latch-up prevention
US11735669B2 (en) 2020-07-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertically-oriented complementary transistor
US11450673B2 (en) 2020-07-31 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Connection between source/drain and gate
US11329168B2 (en) 2020-07-31 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fish bone structure and methods of forming the same
US11450662B2 (en) 2020-08-10 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation structure
DE102020131140A1 (de) 2020-08-10 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gateisolierungsstruktur
US11437373B2 (en) 2020-08-13 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device structure
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11355502B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate recess and methods of forming the same
US11437245B2 (en) 2020-09-30 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium hump reduction
US11404576B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric fin structure
US11600625B2 (en) 2020-10-14 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having an offset source/drain feature and method of fabricating thereof
US11532744B2 (en) 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11462612B2 (en) * 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11444178B2 (en) 2020-11-13 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Inner spacer liner
US11362217B1 (en) 2020-11-23 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming transistors of different configurations
US11699760B2 (en) 2021-01-04 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for stacked multi-gate device
US11527534B2 (en) 2021-01-06 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-insulated semiconductor device
US11735647B2 (en) 2021-01-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device
US11728394B2 (en) 2021-01-27 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming backside power rails
US11710737B2 (en) 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US11901428B2 (en) 2021-02-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with backside gate isolation structure and method for forming the same
CN113035954B (zh) * 2021-02-25 2022-09-02 泉芯集成电路制造(济南)有限公司 全环绕闸极水平贯穿式晶体管及其制备方法
US11605720B2 (en) 2021-02-26 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate cap
US11444170B1 (en) 2021-03-12 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside self-aligned power rail and methods of forming the same
US11854896B2 (en) 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with S/D bottom isolation and methods of forming the same
US11916105B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with corner isolation protection and methods of forming the same
US11615987B2 (en) 2021-03-26 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside via with a low-k spacer
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11605638B2 (en) 2021-04-21 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with multiple threshold voltages
US11791402B2 (en) 2021-05-14 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having strained channels
US11973128B2 (en) 2021-05-27 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming multi-gate transistors
US11532733B1 (en) 2021-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric isolation structure for multi-gate transistors
US11855081B2 (en) 2021-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epitaxial features
US20230114789A1 (en) * 2021-10-13 2023-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain features of multi-gate devices

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
KR101681396B1 (ko) * 2011-12-19 2016-11-30 인텔 코포레이션 고전압 트랜지스터와 그 제조방법, 고전압 트랜지스터를 포함하는 시스템 온 칩 및 고전압 트랜지스터를 포함하는 이동 컴퓨팅 장치
CN106847814B (zh) * 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
DE112011106006B4 (de) * 2011-12-23 2021-01-14 Intel Corp. Nanodrahtstrukturen mit Rundumkontakten und zugehöriges Herstellungsverfahren
CN106653694B (zh) * 2011-12-23 2019-10-18 英特尔公司 Cmos纳米线结构
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9041106B2 (en) * 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9035277B2 (en) 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9224866B2 (en) * 2013-08-27 2015-12-29 Globalfoundries Inc. Suspended body field effect transistor
WO2015047354A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US10586868B2 (en) * 2013-12-19 2020-03-10 Intel Corporation Non-planar semiconductor device having hybrid geometry-based active region
US9257527B2 (en) * 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9391176B2 (en) * 2014-10-23 2016-07-12 Globalfoundries Inc. Multi-gate FETs having corrugated semiconductor stacks and method of forming the same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9484405B1 (en) * 2015-09-29 2016-11-01 International Business Machines Corporation Stacked nanowire devices formed using lateral aspect ratio trapping

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109817618A (zh) * 2017-11-22 2019-05-28 格芯公司 互补场效应晶体管中的外延结构
TWI685967B (zh) * 2017-11-22 2020-02-21 美商格芯(美國)集成電路科技有限公司 在互補場效電晶體中之磊晶結構
CN109817618B (zh) * 2017-11-22 2023-07-28 格芯(美国)集成电路科技有限公司 互补场效应晶体管中的外延结构
TWI726280B (zh) * 2018-08-17 2021-05-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US10998430B2 (en) 2018-08-17 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11367783B2 (en) 2018-08-17 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11894446B2 (en) 2018-08-17 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11177259B2 (en) 2019-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer
TWI757734B (zh) * 2019-09-27 2022-03-11 台灣積體電路製造股份有限公司 半導體裝置與其形成方法

Also Published As

Publication number Publication date
CN107039278B (zh) 2020-05-22
US20190051734A1 (en) 2019-02-14
US20170358500A1 (en) 2017-12-14
US9754840B2 (en) 2017-09-05
TWI623061B (zh) 2018-05-01
US20170140996A1 (en) 2017-05-18
US11239341B2 (en) 2022-02-01
US10734500B2 (en) 2020-08-04
US10109721B2 (en) 2018-10-23
CN107039278A (zh) 2017-08-11
US20200365712A1 (en) 2020-11-19

Similar Documents

Publication Publication Date Title
TWI623061B (zh) 半導體元件及其製造方法
US11610983B2 (en) Epitaxial features confined by dielectric fins and spacers
CN109103262B (zh) 半导体结构及其制造方法
TWI601211B (zh) 半導體元件及其製造方法
TWI731421B (zh) 半導體裝置的形成方法及半導體結構
KR101949568B1 (ko) 최상면이 평면인 에피택셜 피처를 갖는 finfet 디바이스 및 그 제조 방법
TWI597846B (zh) 半導體裝置及其製造方法
TWI732102B (zh) 半導體元件及其製造方法
US11152338B2 (en) Semiconductor device and manufacturing method thereof
CN111261523B (zh) 半导体器件及其形成方法
US20160307894A1 (en) Finfet semiconductor device having fins with stronger structural strength
TW201919119A (zh) 製造半導體結構之方法
TW202029340A (zh) 半導體裝置及其形成方法
CN113539964A (zh) 制造半导体装置的方法