KR101949568B1 - 최상면이 평면인 에피택셜 피처를 갖는 finfet 디바이스 및 그 제조 방법 - Google Patents

최상면이 평면인 에피택셜 피처를 갖는 finfet 디바이스 및 그 제조 방법 Download PDF

Info

Publication number
KR101949568B1
KR101949568B1 KR1020160173387A KR20160173387A KR101949568B1 KR 101949568 B1 KR101949568 B1 KR 101949568B1 KR 1020160173387 A KR1020160173387 A KR 1020160173387A KR 20160173387 A KR20160173387 A KR 20160173387A KR 101949568 B1 KR101949568 B1 KR 101949568B1
Authority
KR
South Korea
Prior art keywords
epitaxial
feature
pin
upper portion
substrate
Prior art date
Application number
KR1020160173387A
Other languages
English (en)
Other versions
KR20170078524A (ko
Inventor
이징 리
리웨이 처우
밍화 여우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170078524A publication Critical patent/KR20170078524A/ko
Application granted granted Critical
Publication of KR101949568B1 publication Critical patent/KR101949568B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/2205Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities from the substrate during epitaxy, e.g. autodoping; Preventing or using autodoping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials

Abstract

반도체 디바이스 및 그 형성 방법이 개시된다. 반도체 디바이스는 기판, 기판 위에 있는 격리 구조물, 기판 위에 있고 격리 구조물 밖으로 돌출한 2개의 핀, 및 2개의 핀 위에 있는 에피택셜 피처를 포함한다. 에피택셜 피처는 2개의 하위 부분 및 1개의 상위 부분을 포함한다. 2개의 하위 부분은 각각 2개의 핀 위에 있다. 상위 부분은 2개의 하위 부분 위에 있고, 2개의 하위 부분에 접속된다. 상위 부분은 2개의 하위 부분과는 상이한 도펀트 농도를 가진다. 상위 부분의 최상면은 실질적으로 평면이다.

Description

최상면이 평면인 에피택셜 피처를 갖는 FINFET 디바이스 및 그 제조 방법{FINFET DEVICE HAVING FLAT-TOP EPITAXIAL FEATURES AND METHOD OF MAKING THE SAME}
본 출원은 2015년 12월 29일에 출원된 "최상면이 평면인 에피택셜 피처를 갖는 FINFET 디바이스 및 그 제조 방법"이란 명칭의 미국 가출원 제62/272,369호에 우선권을 주장하며, 그 내용은 그 전체가 참조로서 여기에 통합된다.
본 발명은 반도체 디바이스에 관한 것이고, 보다 구체적으로는 최상면이 평면인 에피택셜 피처를 갖는 FINFET 디바이스 및 그 제조 방법에 관한 것이다.
반도체 집적 회로(integrated circuit; IC) 산업은 기하 급수적으로 성장했다. IC 재료 및 설계의 기술적 진보는 각 세대가 이전 세대보다 더 작고 더 복잡한 회로를 가진 IC 세대들을 생산했다. IC 진화의 과정에서, 기능 밀도(즉, 칩 영역 당 상호접속된 디바이스의 수)는 일반적으로 증가하는 반면, 기하학적 사이즈[즉, 제조 프로세스를 사용하여 생성될 수 있는 최소 컴포넌트(또는 라인)]는 감소했다. 이러한 스케일링 다운 프로세스는 일반적으로 생산 효율성을 높이고 관련 비용을 낮춤으로써 이점을 제공한다. 또한, 이러한 스케일 다운은 IC의 제조 및 프로세싱의 복잡성을 증가시켰다.
예를 들어, 반도체 디바이스가 점진적으로 스케일링 다운됨에 따라, 캐리어 이동성을 향상시키고 디바이스 성능을 향상시키기 위해 에피택셜(에피) 반도체 재료를 사용하여 스트레인드 소스/드레인(S/D) 피처[예를 들어, 스트레서(stressor) 영역]가 구현되었다. 스트레서 영역을 갖는 MOSFET을 형성하는 것은 종종 실리콘(Si)을 에피택셜 성장시켜 n형 디바이스를 위한 융기된 S/D 피처를 형성하고, 실리콘 게르마늄(SiGe)을 에피택셜 성장시켜 p형 디바이스를 위한 융기된 S/D 피처를 형성한다. 이들 S/D 피처의 형상, 구성 및 재료에 관한 다양한 기술이 트랜지스터 디바이스 성능을 더욱 향상시키기 위해 구현되었다. S/D 형성에 있어서 기존의 접근법은 일반적으로 자신들의 의도된 목적에 적절하였지만, 모든 면에서 완전히 만족스럽지 않았다. 예를 들어, S/D 콘택 저항은 트랜지스터가 스케일링 다운됨에 따라 회로 성능에서 점점 더 중요한 요소가 되었다. 전력 소모를 줄이고 회로 속도를 더 빠르게 하므로 S/D 콘택 저항을 감소시키는 것이 매우 바람직하다.
하나의 예시적인 양상에 있어서, 본 발명개시는 반도체 디바이스에 관한 것이다. 반도체 디바이스는 기판; 기판 위에 있는 격리 구조물; 기판 위에 있고 격리 구조물 밖으로 돌출한 2개의 핀; 및 2개의 핀 위의 에피택셜 피처(epitaxial feature)를 포함한다. 에피택셜 피처는 2개의 하위 부분 및 1개의 상위 부분을 포함한다. 2개의 하위 부분은 각각 2개의 핀 위에 있다. 상위 부분은 2개의 하위 부분 위에 있다. 상위 부분은 2개의 하위 부분과는 상이한 도펀트 농도를 가진다. 상위 부분의 최상면은 실질적으로 평면이다.
또다른 예시적인 양상에 있어서, 본 발명개시는 반도체 디바이스에 관한 것이다. 반도체 디바이스는 기판; 기판 위에 있는 격리 구조물; 기판 위에 있고 격리 구조물 밖으로 돌출한 2개의 핀; 및 2개의 핀 위의 에피택셜 피처를 포함한다. 에피택셜 피처는 2개의 하위 부분 및 1개의 상위 부분을 포함한다. 2개의 하위 부분은 각각 2개의 핀 위에 있다. 상위 부분은 2개의 하위 부분 위에 있고 2개의 하위 부분에 물리적으로 접속한다. 상위 부분의 최상면은 실질적으로 평면이며 기판의 최상면에 실질적으로 평행하다. 상위 부분 및 2개의 하위 부분은 동일한 유형의 도펀트를 갖지만 상이한 도펀트 농도를 가진다.
또다른 예시적인 양상에 있어서, 본 발명개시는 반도체 디바이스를 형성하는 방법에 관한 것이다. 방법은 기판, 기판 위에 있는 격리 구조물, 및 기판으로부터 격리 구조물을 관통하여 연장하는 적어도 2개의 핀을 제공하는 단계를 포함한다. 방법은 적어도 2개의 핀을 에칭함으로써 적어도 2개의 트렌치를 형성하는 단계를 더 포함한다. 방법은 적어도 2개의 트렌치 내에 제 1 에피택셜 피처를 성장시키는 단계, 및 제 1 성장 조건에서 제 1 에피택셜 피처 위에 제 2 에피택셜 피처를 성장시키는 단계를 더 포함한다. 제 2 에피택셜 피처가 목표 임계 치수에 도달한 후에, 방법은 제 1 성장 조건과는 상이한 제 2 성장 조건에서 제 2 에피택셜 피처를 성장시키는 단계를 더 포함한다.
융기된 S/D 피처는 실질적으로 평면인 최상면을 갖도록 성장될 수 있다. 실질적으로 평면인 최상면은 S/D 피처와 그 위에 배치된 S/D 콘택 사이에 큰 계면을 제공한다. 큰 계면은 등각 또는 비등각 S/D 콘택 성막으로 달성될 수 있으며, 그것은 제조 프로세스를 단순화한다. 본 발명개시의 실시예에 있어서, S/D 피처의 지오메트리는 특정 제조 단계에서 에피택셜 성장 조건을 변화시킴으로써 조정될 수 있다. 본 발명개시의 다양한 실시예는 기존의 제조 프로세스로 쉽게 통합될 수 있다.
본 발명개시는 첨부하는 도면과 함께 판독될 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 상업상 표준 시행에 따라 다양한 피처들이 일정한 비율로 그려지지 않았고 예시의 목적으로만 사용되었음이 주목된다. 실제, 다양한 피처들의 치수는 설명의 명료함을 위해 임의로 증감될 수 있다.
도 1a, 도 1b, 도 1c 및 도 1d는 본 발명개시의 다양한 양상에 따라 구축된 반도체 디바이스의 다양한 실시예를 예시한다.
도 2는 본 발명개시의 다양한 양상에 따른 반도체 디바이스를 형성하는 방법의 블록도를 나타낸다.
도 3은 도 2의 방법의 실시예에 따른 제조의 중간 단계에서의 반도체 디바이스의 사시도를 예시한다.
도 4a, 도 4b, 도 4c, 도 5a, 도 5b, 도 5c, 도 6a, 도 6b, 도 7a, 도 7b, 도 8a, 도 8b, 도 9a, 도 9b, 도 10 및 도 11은 일부 실시예에 따른 도 2의 방법에 따른 목표 반도체 디바이스 형성의 단면도를 예시한다.
아래의 발명개시는 제공되는 본 발명내용의 여러 피처들을 구현하기 위한 많은 여러 실시예들 또는 예시들을 제공한다. 본 발명개시를 단순화하기 위해 컴포넌트들 및 배열들의 특정예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정적인 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제 2 피처 상의 또는 그 위의 제 1 피처의 형성은 제 1 및 제 2 피처들이 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제 1 및 제 2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제 1 및 제 2 피처들 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 게다가, 본 발명개시는 다양한 예시들에서 참조 부호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 단순화 및 명료화를 위한 것이고, 그 자체가 개시된 다양한 실시예들 및/또는 구성들 사이의 관계를 설명하는 것은 아니다.
또한, 도면들에서 예시된 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해 "아래", "밑", "하위", "위", "상위" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적 용어들은 도면들에서 예시된 배향에 더하여 이용 또는 동작에 있어서 디바이스의 상이한 배향들을 망라하도록 의도된 것이다. 장치는 이와달리 배향될 수 있고(90°회전되거나 또는 다른 배향에 있음), 여기서 이용되는 공간 상대적 기술어들은 그에 따라 마찬가지로 해석될 수 있다.
다양한 실시예들에서의 본 발명개시는 일반적으로 반도체 디바이스 및 그 형성 방법에 관한 것이다. 특히, 본 발명개시는 핀형 채널을 갖는 FET(FinFET)를 포함하는 전계 효과 트랜지스터(FET)에서 융기된 S/D 피처를 형성하는 것에 관한 것이다. 일부 실시예에 있어서, 본 발명개시는 다수의 에피택셜 피처로부터 병합(merging)되는 융기된 S/D 피처를 제공하고, 융기된 S/D 피처 각각은 실질적으로 평면인 최상면을 제공한다. 평면인 최상면은 합쳐진 다수의 개별 에피택셜 피처보다 더 큰 접촉 면적을 제공한다. S/D 콘택이 융기된 S/D 피처 위에 형성될 때, 평면인 최상면은 큰 접촉 면적으로 인해 S/D 콘택의 각각의 저항을 감소시키는 것을 돕는다.
도 1a는 본 발명개시의 다양한 양상에 따라 구축된 반도체 디바이스(100)를 나타낸다. 디바이스(100)는 IC의 프로세싱 동안에 제조된 중간 디바이스 또는 그 부분일 수 있고, 그것은 정적 랜덤 액세스 메모리(static random access memory; SRAM) 및/또는 논리 회로, 저항기, 커패시터 및 인덕터와 같은 수동 컴포넌트 및 p형 FET(PFET), n형 FET(NFET), FinFET, 금속 산화물 반도체 전계 효과 트랜지스터(metal-oxide semiconductor field effect transistor; MOSFET) 및 상보형 금속 산화물 반도체(complementary metal-oxide semiconductor; CMOS) 트랜지스터, 바이폴라 트랜지스터, 고전압 트랜지스터, 고주파 트랜지스터와 같은 능동 컴포넌트, 다른 메모리 셀 및 이들의 조합을 포함할 수 있다. 또한, 다양한 실시예에서는, 트랜지스터, 핀, 게이트 스택, 디바이스 영역 및 다른 피처를 포함하는 다양한 피처가 간략화 및 이해의 용이성을 위해 제공되며, 실시예를 반드시 임의의 유형의 디바이스, 임의의 수의 디바이스, 임의의 수의 영역 또는 임의의 구성의 구조물 또는 영역로 제한하는 것은 아니다. 예를 들어, 동일한 발명 개념이 평면 FET 디바이스 및 다중 게이트 디바이스를 제조하는데 적용될 수 있다.
도 1a를 참조하면, 본 실시예에 있어서, 디바이스(100)는 기판(102), 기판(102) 위에 있는 격리 구조물(104), 및 기판(102) 위에 있고 격리 구조물(104) 밖으로 돌출한 2개 이상의 핀(106)(도 1a에서는 2개가 도시됨)을 포함한다. 또한, 본 실시예에 있어서, 디바이스(100)는 상위 부분(122U) 및 2개 이상의 하위 부분(122L)(도 1a에서는 2개가 도시됨)을 포함한 에피택셜 피처(122)를 포함한다. 하위 부분(122L)은 각각의 핀(106) 위에 배치되고, 핀 측벽 유전체층(110)에 의해 적어도 부분적으로 둘러싸인다. 본 실시예에 있어서, 하위 부분(122L)은 "z" 방향(핀 높이 방향)을 따라 핀 측벽 유전체층(110)보다 더 낮다. 하위 부분(122L)은 상위 부분(122U)을 통해 서로 물리적으로 접속된다. 상위 부분(122U)은 실질적으로 평면인 최상면(124)을 제공한다. 실시예에 있어서, 최상면(124)은 기판(102)의 최상면(102')에 실질적으로 평행한다. 상위 부분(122U)의 저면(125)은 다양한 실시예에 있어서 평면이거나 또는 평면이 아닐 수 있다. 디바이스(100)의 다양한 피처가 이하에 더 설명된다.
기판(102)은 본 실시예에서 실리콘 기판이다. 대안적으로, 기판(102)은 게르마늄과 같은 또다른 원소의 반도체; 실리콘 카바이드, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 또 다른 대안에서, 기판(102)은 매립된 유전체층을 갖는 것과 같은 SOI(semiconductor-on-insulator)이다. 실시예에 있어서, 기판(102)은 활성 디바이스를 형성하기 위한 p-웰 및 n-웰과 같은 활성 영역을 포함한다.
핀(106)은 PFET을 형성하기 위한 p형 핀 또는 NFET을 형성하기 위한 n형 핀일 수 있다. 핀(106)은 기판(102)과 실질적으로 동일한 반도체 재료를 포함할 수 있다. 도 1a에 도시하지 않았지만, 핀(106) 각각은 채널 영역과 채널 영역을 샌드위칭하는 2개의 S/D 영역을 포함한다. 도 1a는 핀(106)의 S/D 영역 중 하나를 가로 질러 절단하여 바라본 디바이스(100)의 단면도를 도시한다. 핀(106)은 격리 구조물(104)에 의해 분리된다. 격리 구조물(104)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, FSG(fluoride-doped silicate glass), 로우-k 유전체 재료, 및/또는 다른 적합한 절연 재료를 포함 할 수있다. 일부 실시예에 있어서, 격리 구조물(104)은 얕은 트렌치 격리(shallow trench isolation; STI) 피처일 수 있다. 유전체층(110)은 격리 구조물(104) 위와 핀(106)의 S/D 영역에 인접하여 배치된다. 유전체층(110)은 하위 부분(122L)을 적어도 부분적으로 둘러싼다. 실시예에 있어서, 유전체층(110)은 실리콘 질화물, 실리콘 산질화물 또는 실리콘 카본 질화물과 같은 질화물을 포함한다. 상위 부분(122U)은 유전체층(110) 및 하위 부분(122L) 위에 배치된다.
실시예에 있어서, 하위 부분(122L) 및 상위 부분(122U) 각각은 NFET 디바이스를 형성하기 위해 인(P) 또는 비소(As)와 같은 n형 도펀트로 도핑된 실리콘을 포함한다. 또한, 상위 부분(122U)은 하위 부분(122L)보다 더 높은 농도의 n형 도펀트를 포함한다. 일례에 있어서, 상위 부분(122U)은 1e21 cm-3 내지 5e21 cm-3의 범위의 도펀트 농도를 갖는 인으로 도핑된 실리콘을 포함하는 반면, 하위 부분(122L)은 1e20 cm-3 내지 1e21 cm-3의 범위의 도펀트 농도를 갖는 인으로 도핑된 실리콘을 포함한다. 또다른 실시예에 있어서, 하위 부분(122L) 및 상위 부분(122U) 각각은 PFET 디바이스를 형성하기 위해 붕소(B) 또는 인듐(In)과 같은 p형 도펀트로 도핑된 실리콘 게르마늄을 포함한다. 추가의 실시예에 있어서, 상위 부분(122U)은 하위 부분(122L)보다 더 높은 농도의 p형 도펀트를 포함한다.
도 1b를 참조하면, 실시예에 있어서, 디바이스(100)는 에피택셜 피처(122) 위에 형성된 콘택(contact) 피처(126)를 더 포함한다. 특히, 콘택 피처(126)는 평면인 최상면(124) 위에 배치된다. 실시예에 있어서, 콘택 피처(126)는 배리어층(126a) 및 배리어층 위에 있는 도전성 층(126b)을 포함할 수 있다. 예를 들어, 도전성 층(126b)은 알루미늄(Al), 텅스텐(W), 구리(Cu), 코발트(Co), 이들의 조합 또는 다른 적합한 재료를 포함할 수 있고, 배리어층(126a)은 도전성 층(126b)의 금속 원소가 인접한 피처들로 이주하는 것을 방지하기 위해, TaN과 같은 금속 질화물을 포함 할 수있다. 다양한 실시예에 있어서서, 콘택 피처(126)와 에피택셜 피처(122) 사이의 계면은 종래의 구조물에 비해 감소된 저항을 제공한다. 종래의 에피택셜 피처는 전형적으로 마름모형의 단면 프로파일을 가지며, 서로 격리되거나(병합되지 않음), 또는 융기부분(ridge) 및 골부분(valley)이 있는 비평평한 최상면을 갖는 형상으로 병합된다. 종래의 에피택셜 피처를 갖는 많은 경우에 있어서, 에피택셜 피처의 최상면(들)의 부분(예를 들어, 융기부분)만이 상기 콘택 피처와 직접적으로 인터페이싱한다. 이와 비교하여, 에피택셜 피처(122)의 최상면(124)은 실질적으로 평면이고, 종래의 에피택셜 피처가 제공하는 것보다 콘택 피처(126)와의 더 큰 계면을 제공한다.
도 1c를 참조하면, 또다른 실시예에 있어서, 디바이스(100)는 영역(102a) 및 인접 영역(102b)을 포함한다. 영역(102a)은 상기 논의된 다양한 피처(106 및 122) 포함한다. 영역(102b)은 핀(146) 및 핀(146) 위에 있는 에피택셜 피처(152)를 포함한다. 에피택셜 피처(152)는 하위 부분(152L) 및 하위 부분(152L) 위에 있는 상위 부분(152U)를 포함한다. 유전체층(110)은 하위 부분(152L)를 적어도 부분적으로 둘러싼다. 본 실시예에 있어서, 하위 부분(152L)은 "z" 방향을 따라 핀 측벽 유전체층(110)보다 더 낮은 곳에 위치한다. 상위 부분(152U)은 유전체층(110) 위에 배치된다. 또한, 본 실시예에 있어서, 디바이스(100)는 에피택셜 피처(122 및 152) 모두와 인터페이싱하는 콘택 피처(128)를 포함한다. 콘택 피처(128)는 배리어층(128a) 및 배리어층(128a) 위에 있는 도전성 층(128b)을 포함한다. 배리어층(128a) 및 도전성 층(128b)은 각각 배리어층(126a) 및 도전성 층(126b)과 동일하거나 유사한 조성을 가질 수 있다. 나타낸 이 실시예에 있어서, 에피택셜 피처(152)는 마름모 형상의 단면 프로파일을 가지며, 콘택 피처(128)의 일부는 에피택셜 피처(152)의 측면 위에 배치된다. 특히, 본 실시예에 있어서, 콘택 피처(128)의 일부는 에피택셜 피처들(122U 및 152U) 사이와 에피택셜 피처(122U) 및/또는 에피택셜 피처(152U)의 (x 방향을 따라) 가장 넓은 부분 아래에 배치된다. 또다른 실시예에 있어서, 영역(102b)은 에피택셜 피처(122)와 같은 형상의, 즉 콘택 피처(128)가 위에 배치될 수 있는 실질적으로 평면인 최상면을 갖는 에피택셜 피처를 포함할 수 있다. 실시예에 있어서, 영역(102a) 내의 피처는 n형 트랜지스터(예를 들어, NMOS)를 형성하고, 영역(102b) 내의 피처는 p형 트랜지스터(예를 들어, PMOS)를 형성한다. 대안의 실시예에 있어서, 영역(102a)의 피처는 p형 트랜지스터(예를 들어, PMOS)를 형성하고, 영역(102b)의 피처는 n형 트랜지스터(예를 들어, NMOS)를 형성한다.
도 1d를 참조하면, 다양한 치수의 디바이스(100), 특히 에피택셜 피처(122)가 또다른 실시예에 예시된다. 도 1d에서 상위 부분(122U)의 형상은 직사각형 막대에 가깝고; 그 최상면 및 저면 모두는 평평하거나 거의 평평하다. 2개의 실시예(도 1c 및 도 1d)의 상위 부분들(122U) 사이의 차이점들 중 하나는 이 상위 부분들의 측면들이다. 도 1c의 상위 부분(122U)은 상향하고 하향하는 비스듬한 측면을 갖는 반면, 도 1d의 상위 부분(122U)은 거의 수직인 측면을 가진다. 2개의 실시예(도 1c 및 도 1d)에서 상위 부분들(122U) 사이의 또다른 차이점은 이러한 상위 부분들의 저면이다. 도 1c의 상위 부분(122U)은 하향하는 비스듬한 저면을 갖는 반면, 도 1d의 상위 부분(122U)은 거의 평평한(수평인) 저면을 가진다. 도 1d에 도시된 실시예에 있어서, 핀(106)은 핀 폭 방향("x" 방향)을 따라 핀 피치 "p"를 가진다. 핀 피치 "p"는 또한 하위 부분(122L)의 피치이다. 실시예에 있어서, 피치 "p"는 프로세스 노드를 위해, 그리고 에피택셜 피처(122)에 평면인 최상면(124)을 형성하기 위해 조정된다. "p"가 너무 작으면, 상위 부분(122U)은 에피택셜 성장 프로세스에서 너무 일찍 병합될 수 있어서, 평면인 최상면을 갖는 형상 대신에 마름모 형상으로 성장될 것이다. "p"가 너무 크면, 상위 부분(122U)이 전혀 병합되지 않을 수 있다. 일례에서, 피치 "p"는 30 나노미터(nm) 내지 50 nm의 범위로 조정된다.
도 1a 및 도 1d에 나타낸 바와 같은 다양한 실시예에 있어서, 상위 부분(122U)은 "x" 방향을 따라 하위 부분(122L) 및 핀(106)을 넘어서 연장한다. 상위 부분(122U)은 "x" 방향을 따라 치수 "b"를 갖고, 핀 높이 방향("z" 방향)을 따라 치수 "h"를 가진다. 실시예에 있어서, 치수 "b"는 치수 "h"보다 크다. 예에서, 치수 "b"는 55 nm 내지 75 nm의 범위 내에 있다. 또한, 하위 부분(122L)의 좌측 및 우측을[또한 2개의 핀(106)을] 넘어서 연장되는 상위 부분(122U)의 부분들은 비대칭일 수 있다. 도 1d에 나타낸 실시예에 있어서, 상위 부분(122U)은 치수 "b1"만큼 하위 부분(122L)의 좌측을 넘어서, 그리고 치수 "b2"만큼 하위 부분(122L)의 우측을 넘어서 연장한다. 치수 "b1" 및 "b2" 각각은 일부 실시예에 있어서 10 nm 내지 25 nm의 범위 내에 있을 수 있다.
도 1d를 여전히 참조하여, 유전체층(110)은 "z" 방향을 따라 높이 "d"를 가지며, 높이 "d"는 다양한 실시예에서 5 nm 내지 25 nm의 범위 내에 있을 수 있다. 하기 논의될 바와 같이, 높이 "d"는 에피택셜 피처(122)의 다양한 형상 및 치수에 기여한다. 하위 부분(122L) 각각은 하위 부분(122L)의 대략 절반 높이에서 측정된 "x" 방향을 따른 폭 "c"를 가진다. 일부 실시예에 있어서, 폭 "c"는 6 nm 내지 15 nm의 범위 내에 있을 수 있다. 또한, 하위 부분(122L) 각각은 "z" 방향을 따라 높이 "e"를 가진다. 일부 실시예에 있어서, 높이 "e"는 3 nm 내지 15 nm의 범위 내에 있을 수 있다. 도전성 층(128b)은 일부 실시예에 있어서, 약 100 nm 내지 약 250 nm의 범위 내에 있을 수 있는 폭 "g"을 가진다. 도전성 층(128b)은 그 하위부보다 그 상위부에서 더 넓을 수 있다(예를 들어, 사다리꼴). 도전성 층(128b)의 하위 부분은 배리어층(128a)의 최상면으로부터 하향하여 연장한다. 이 하위 부분은 에피택셜 피처(122U 및 152U) 사이에 배치되고, 일부 실시예에 있어서 20 내지 50 nm의 범위 내의 (z 방향을 따른) 깊이 "f"를 가진다. 콘택 피처(128)와 에피택셜 피처 사이의 계면은 도전성 층(128b)의 하위 부분의 존재로 인해 더욱 확대된다.
도 2는 본 발명개시의 다양한 양상에 따른, 반도체 디바이스(100)와 같은, 반도체 디바이스를 형성하는 방법(200)의 블록도를 도시한다. 방법(200)은 일례이며, 청구범위에 명시적으로 기재된 것 이상으로 본 발명개시를 한정하는 것으로 의도되지 않는다. 추가 동작은 방법(200) 이전, 도중 및 이후에 제공될 수 있으며, 설명된 일부 동작은 방법의 추가 실시예를 위해 대체, 제거 또는 재배치될 수 있다. 방법(200)은 본 발명개시의 다양한 양상에 따른 반도체 디바이스(100)의 사시도 및 단면도인 도 3 내지 도 11과 함께 이하 설명된다.
동작(202)에서, 방법(200)(도 2)은 디바이스(100)의 전구체(precursor)를 수용한다. 설명의 편의를 위해, 디바이스(100)의 전구체는 또한 디바이스(100)라고도 칭한다. 도 3은 디바이스(100)의 사시도를 도시한다. 도 4a, 도 4b 및 도 4c는 각각 도 3에서 "1-1" 선, "2-2" 선 및 "3-3" 선에 따른 디바이스(100)의 단면도를 도시한다. "1-1" 선은 핀(106)의 S/D 영역에서 "x-z" 평면으로 디바이스(100)를 절단한다. "2-2" 선은 핀(106)의 길이를 따라 "y-z" 평면으로 디바이스(100)를 절단한다. "3-3" 선은 핀(106)의 외측에서 "y-z" 평면으로 디바이스(100)를 절단한다. 도 3, 도 4a, 도 4b, 및 도 4c를 총괄적으로 참조하면, 디바이스(100)는 기판(102), 기판(102) 위에 있는 격리 구조물(104), 및 기판(102)으로부터 격리 구조물(104)를 관통하여 연장되는 2개의 핀(106)을 포함한다. 2개의 핀(106) 각각은 2개의 소스/드레인(S/D) 영역(106a), 및 S/D 영역(106a) 사이에 개재되는 채널 영역(106b)을 가진다. 디바이스(100)는 채널 영역(106b)에서 핀(106)과 맞물리는(engaging) 게이트 스택(108)을 더 포함한다. 특히, 게이트 스택(108)은 그 다수의 측면 상에서 핀(106)과 맞물려 다중 게이트 디바이스(이 경우에는 FinFET)를 형성한다.
핀(106)은 포토리소그래피 및 에칭 프로세스를 포함하는 적합한 프로세스를 이용하여 제조될 수 있다. 포토리소그래피 프로세스는 기판(102) 위에 놓인 포토레지스트층(레지스트)을 형성하는 단계, 레지스트를 패턴에 노광시키는 단계, 노광후(post-exposure) 베이킹 프로세스를 수행하는 단계, 및 레지스트를 현상하여 레지스트를 포함한 마스킹 엘리먼트를 형성하는 단계를 포함할 수 있다. 다음에, 마스킹 엘리먼트는 기판(102) 내로 리세스(recess)를 에칭하는데 사용되고, 이로써 기판(102) 상에 핀(106)을 남긴다. 에칭 프로세스는 건식 에칭, 습식 에칭, 반응성 이온 에칭(reactive ion etching; RIE) 및/또는 다른 적합한 프로세스를 포함할 수 있다. 예를 들어, 건식 에칭 프로세스는 산소 함유 가스, 불소 함유 가스(예를 들어, CF4, SF6, CH2F2, CHF3, 및/또는 C2F6), 염소 함유 가스(예를 들어, Cl2, CHCl3, CCl4, 및/또는 BCl3), 브롬 함유 가스(예를 들어, HBr 및/또는 CHBR3), 요오드 함유 가스, 다른 적합한 가스 및/또는 플라즈마, 및/또는 이들의 조합을 시행할 수 있다. 예를 들어, 습식 에칭 프로세스는 희석된 플루오르화 수소산(DHF); 수산화 칼륨(KOH) 용액; 암모니아; 플루오르화 수소산(HF), 질산(HNO3) 및/또는 아세트산(CH3COOH)을 함유하는 용액; 또는 다른 적합한 습식 에천트에서의 에칭을 포함할 수 있다. 핀(106)은 또한 이중 패터닝 리소그래피(double-patterning lithography; DPL) 프로세스를 이용하여 형성될 수 있다. 핀(106)을 형성하기 위한 방법의 수많은 다른 실시예가 적합할 수 있다.
격리 구조물(104)은, 예를 들어 핀(106) 형성 프로세스의 부분으로서, 기판(102) 내에 트렌치를 에칭하는 것에 의해 형성될 수 있다. 그 다음, 트렌치는 격리 재료로 충전될 수 있고, 그 뒤를 이어서 화학적 기계적 평탄화(chemical mechanical planarization; CMP) 프로세스가 뒤따른다. 필드 산화물, LOCOS(LOCal Oxidation of Silicon) 및/또는 다른 적합한 구조물과 같은 다른 격리 구조물이 가능하다. 격리 구조물(104)은, 예를 들어 하나 이상의 열 산화 라이너층을 갖는, 다층 구조물을 포함할 수 있다.
게이트 스택(108)은 게이트 유전체층 및 게이트 전극층을 포함한다. 게이트 유전체층은 실리콘 산화물, 또는 하프늄 산화물, 지르코늄 산화물, 란탄 산화물, 티타늄 산화물, 이트륨 산화물 및 스트론튬 티타네이트와 같은 하이-k 유전체 재료를 포함할 수 있다. 게이트 유전체층은 화학적 산화, 열적 산화, 원자층 증착(atomic layer deposition; ALD), 화학적 기상 증착(chemical vapor deposition; CVD) 및/또는 다른 적합한 방법에 의해 형성될 수 있다. 실시예에 있어서, 게이트 전극층은 폴리실리콘을 포함하고, 저압 화학적 기상 증착(low-pressure chemical vapor deposition; LPCVD) 및 플라즈마 강화 CVD(plasma-enhanced CVD; PECVD)와 같은 적합한 성막 프로세스에 의해 형성될 수 있다. 일부 실시예에 있어서, 게이트 전극층은 n형 또는 p형 일 함수층 및 금속 충전층을 포함한다. 예를 들어, n형 일 함수층은 티타늄, 알루미늄, 탄탈룸 카바이드, 탄탈룸 카바이드 질화물, 탄탈룸 실리콘 질화물 또는 이들의 조합과 같은 충분히 낮은 유효 일 함수를 갖는 금속을 포함할 수 있다. 예를 들어, p형 일 함수층은 티타늄 질화물, 탄탈룸 질화물, 루테늄, 몰리브덴, 텅스텐, 백금 또는 이들의 조합과 같은 충분히 큰 유효 일 함수를 갖는 금속을 포함할 수 있다. 예를 들어, 금속 충전층은 알루미늄, 텅스텐, 코발트, 구리 및/또는 다른 적합한 재료를 포함할 수 있다. 게이트 전극층은 CVD, PVD, 도금 및/또는 다른 적절한 프로세스에 의해 형성될 수 있다. 일부 실시 예에 있어서, 게이트 스택(108)은 희생 게이트 구조물, 즉 최종 게이트 스택을 위한 플레이스홀더(placeholder)이다. 일부 실시예에 있어서, 게이트 스택(108)은 그 게이트 유전체층과 핀(106) 사이의 계면층을 포함한다. 계면층은 실리콘 산화물 또는 실리콘 산질화물과 같은 유전체 물질을 포함할 수 있고, 화학적 산화, 열적 산화, ALD, CVD 및/또는 다른 적합한 유전체에 의해 형성될 수 있다. 게이트 스택(108)은 하드 마스크 층(들)과 같은 다른 층들을 포함할 수 있다.
동작(204)에서, 방법(200)(도 2)은 각각의 S/D 영역(106a)에서 핀(106)의 측벽 상에 유전체층(110)을 형성한다. 도 5a, 도 5b 및 도 5c는 그 제조 단계 이후에 각각 도 3의 "1-1" 선, "2-2" 선, 및 "3-3" 선에 따른 디바이스(100)의 단면도를 도시한다. 도 5a, 도 5b 및 도 5c를 참조하면, 유전체층(110)은 단층 또는 다층 구조물을 포함할 수 있고, 실리콘 질화물(SiN) 또는 실리콘 산질화물과 같은 유전체 재료를 포함 할 수 있다. 유전체층(110)은 CVD, PECVD, ALD, 열 증착 또는 다른 적합한 방법에 의해 형성될 수 있다. 본 실시예에 있어서, 유전체층(110)은 또한 게이트 스택(108)의 측벽 상에 배치된다. 실시예에 있어서, 동작(204)은 성막 프로세스, 및 그 뒤를 이은 에칭 프로세스를 포함한다. 예를 들어, 이는 격리 구조물(104), 핀(106) 및 게이트 스택(108)을 덮는 블랭킷층으로서 디바이스(100) 위에 유전체 재료를 성막할 수 있다. 그 다음에, 격리 구조물(104), 핀(106) 및 게이트 스택(108)의 최상면들로부터 유전체 재료의 일부분을 제거하기 위해 이방성 에칭 프로세스를 수행할 수 있고, 그것은 유전체층(110)으로서 게이트 스택(108) 및 핀(106)의 측벽 상에 유전체 재료의 나머지 부분을 남긴다. 실시예에 있어서, 핀(106)의 측벽 상의 유전체층(110)은 대략 5 내지 25 ㎚의 높이를 가진다.
동작(206)에서, 방법(200)(도 2)은 핀(106)의 S/D 영역(106a)을 선택적으로 에칭하여 그 안에 트렌치(또는 리세스)(114)를 형성한다. 도 6a 및 도 6b는 이 제조 단계 이후에 각각 도 2의 "1-1" 선 및 "2-2" 선을 따른 디바이스(100)의 단면도를 예시한다. 도 6a 및 도 6b를 참조하면, 본 실시예에서 핀(106)은 격리 구조물(104)의 최상면 아래로 에칭된다. 동작(206)은 하나 이상의 포토리소그래피 프로세스 및 에칭 프로세스를 포함할 수 있다. 예를 들어, 포토리소그래피 프로세스는 에칭되도록 의도되지 않은 디바이스(100)의 영역을 덮는 마스킹 엘리먼트를 형성할 수 있다. 마스킹 엘리먼트는 개구부를 제공하고, 그 개구부를 통하여 핀(106)이 에칭된다. 핀(106)은 건식 에칭 프로세스, 습식 에칭 프로세스 또는 다른 에칭 기술에 의해 에칭될 수 있다. 본 실시예에 있어서, 에칭 프로세스는 게이트 스택(108), 유전체층(110) 및 격리 구조물(104)이 실질적으로 변하지 않고 남아 있게 하면서, 핀(106)의 재료를 제거하도록 선택적으로 조정된다. 동작(206)은 게이트 스택(108)의 각 측면 상에 2개씩 4개의 트렌치(114)를 형성한다. 각 트렌치(114)는 그 상위부에서보다 그 하위부에서 더 넓은 개구부를 갖는 ("x-z" 평면에서 볼 때) 테이퍼형 단면 프로파일을 가질 수 있다. 에칭 프로세스 이후에, 트렌치(114) 내의 다양한 표면들이 후속하는 에피택셜 성장 프로세스를 위해 준비가 되도록 트렌치(114)를 세정 화학물로 세정하는 세정 프로세스가 수행될 수 있다. 세정 화학물은 플루오르화 수소산(HF) 용액, 희석된 HF 용액 또는 다른 적합한 세정 용액일 수 있다.
동작(208)에서, 방법(200)(도 2)은 각 트렌치 내에 1개씩, 4개의 트렌치(114) 내에 4개의 에피택셜 피처(122L)를 성장시킨다(도 7a 및 도 7b). 에피택셜 피처(122L)는 각각의 트렌치(114)를 부분적으로 충전한다. 에피택셜 성장 프로세스는 실리콘 기반의 전구체를 이용한 LPCVD 프로세스, 선택적 에피택셜 성장(selective epitaxial growth; SEG) 프로세스, 또는 주기적 성막 및 에칭(cyclic deposition and etching; CDE) 프로세스일 수 있다. 예를 들어, 실리콘 결정은 전구체로서 디클로로실란(SiH2Cl2)을 이용하는 LPCVD로 성장될 수 있다. 또다른 예로서, 실리콘 게르마늄 결정은 HCl을 에칭 가스로서 이용하고, H2 내에 약 1 % 내지 약 10 %의 GeH4를 함유한 GeH4 및 H2의 가스 혼합물을 성막 가스로서 이용한 CDE 프로세스로 형성될 수 있다. 유전체층(110)의 높이는 과도한 횡측 성장없이 원하는 높이까지 에피택셜 피처(122L)의 성장을 촉진하도록 조정된다. 다양한 실시예에 있어서, 에피택셜 피처(122L)는 3 nm 내지 15 nm의 범위 내의 높이를 갖도록 성장된다. 에피택셜 피처(122L)는 융기된 S/D 피처를 형성하기에 적합한 반도체 재료를 포함한다. 실시예에 있어서, 에피택셜 피처(122L)는 붕소 또는 인듐과 같은 하나 이상의 p형 도펀트로 도핑된 실리콘 게르마늄(SiGe)을 포함한다. 실시예에 있어서, 에피택셜 피처(122L)는 인 또는 비소와 같은 하나 이상의 n형 도펀트로 도핑된 실리콘을 포함한다. 도핑은 에피택셜 성장 프로세스로 인시츄(in-situ) 또는 엑스시츄(ex-situ) 형성될 수 있다.
동작(210)에서, 방법(200)(도 2)은 하위 에피택셜 피처(122L) 위에 상위 에피택셜 피처(122U)를 성장시킨다. 본 실시예에 있어서, 에피택셜 피처(122U)는 에피택셜 피처(122L)와 동일한 반도체 재료로, 그러나 상이한 도펀트 농도로 성장된다. 예를 들어, 에피택셜 피처(122L 및 122U)는 각각 n형 도펀트로 도핑된 실리콘을 포함할 수 있지만, 에피택셜 피처(122U)는 n형 도펀트의 농도가 더 높다. 또다른 예로서, 에피택셜 피처(122L 및 122U)는 각각 p형 도펀트로 도핑된 실리콘 게르마늄을 포함할 수 있지만, 에피택셜 피처(122U)는 p형 도펀트의 농도가 더 높다. 에피택셜 피처(122L)의 형성과 유사하게, 에피택셜 피처(122U)는 LPCVD, SEG 또는 CDE 기술을 사용하여 형성될 수 있다.
도 8a 및 도 8b에 나타낸 바와 같이, 에피택셜 피처(122U)는 각각의 트렌치(114) 내의 나머지 공간을 충전하고, 이러한 에피택셜 피처가 각각의 트렌치(114) 밖으로 성장하면 횡측으로 더욱 연장된다. 에피택셜 피처(122U)가 더 크고 더 넓어질수록, 도 3의 "1-1" 선을 따른 디바이스(100)의 단면도인 도 8a에 나타낸 바와 같이, 이러한 에피택셜 피처들은 병합하기 시작한다. 도 8b는 이 제조 공정에서 도 3의 "2-2" 선을 따른 디바이스(100)의 단면도를 예시한다. 도 8a를 참조하면, 에피택셜 피처(122U)는 접속된 에피택셜 피처로 병합되고, 또한 논의의 편의를 위해 에피택셜 피처(122U)로 지칭된다. 또한, 이 제조 단계에서, 에피택셜 피처(122U)는 평면인 최상면을 아직 갖지 않는다. 대신에, 딥(dip)(116)을 갖는 굴곡이 많은 최상면(115)을 가진다. 본 발명개시의 발명자들은 동일한 에피택셜 성장 조건 하에 에피택셜 피처(122U)를 연속적으로 성장시키는 것은 에피택셜 피처가 평면인 최상면을 갖지 않는 것을 초래할 수 있음을 발견했다.
동작(212)에서, 방법(200)(도 2)은 에피택셜 피처(122U)에 대한 성장 조건을 변경하고 에피택셜 피처(122U)의 성장을 계속하여, 도 3의 "1-1" 선에 따른 디바이스(100)의 단면도인 도 9a에 나타낸 바와 같이, 실질적으로 평면인 최상면(124)을 갖도록 한다. 도 9b는 이 제조 단계에서 도 3의 "2-2" 선을 따른 디바이스(100)의 단면도를 예시한다. 실시예에 있어서, 방법(200)은 개별의 에피택셜 피처(122U)가 병합을 시작하고 병합된 에피택셜 피처(122U)가 목표 임계 치수(CD)에 도달할 때, 예를 들어 그 치수 "b"(도 1d)가 특정 값(예를 들어, 피치 "p"의 적어도 1.5배)에 도달할 때 또는 딥(116)의 저부에서 그 두께 "h1"이 원하는 높이 "h"의 1/4 내지 1/3과 같은 특정 값에 도달할 때, 동작(210)으로부터 동작(212)으로 스위칭한다. 일 실시예에 있어서, 방법(200)은 h1이 약 5 내지 10 nm 일 때 동작(210)으로부터 동작(212)으로 스위칭한다.
실시예에 있어서, 동작(212)은 동작(210)과는 상이한 성막 전구체 또는 상이한 에칭 가스를 사용한다. 또다른 실시예에 있어서, 동작(212)은 동작(210)과는 상이한 (유형 또는 양의) 에칭 가스를 사용하지만, 동일한 성막 전구체를 사용한다. 상이한 성막 전구체 또는 에칭 가스는 에피택셜 피처(122U)의 다른 영역들에서보다 딥(116)(도 8a)에서 더 많은 결정 성장(또는 성막)을 초래한다. 다시 말하면, 반도체 재료가 에피택셜 피처(122U)의 측면 및 저면 상에도 성장(또는 성막)되지만, 그 최상면(115) 상에서의 성장(또는 성막)은 훨씬 더 빠르다. 결과적으로, 딥(116)은 각각의 반도체 재료(예를 들어, 실리콘 또는 실리콘 게르마늄)로 충전되고, 에피택셜 피처(122U)는 실질적으로 평면인 최상면(124)을 갖도록 성장된다.
실시예에 있어서, 동작(210)은 실리콘 결정을 형성하기 위한 전구체로서 디클로로실란(SiH2Cl2)(또한 DCS로서 알려짐)을 사용하는 에피택셜 피처(122U)를 성장시킨다. 이 실시예에서 더 나아가, 동작(212)은 딥(116)에서 더 높은 성장(또는 성막) 레이트를 갖기 위해 전구체에 실란을 첨가한다. 실시예에 있어서, 실란은 SiH4이다. 실시예에 있어서, 동작(212)에서의 DCS에 대한 SiH4의 비율은 약 0.005 내지 약 0.05의 범위 내이다. 또다른 실시예에 있어서, 동작(210)은 실리콘 게르마늄 결정을 형성하기 위해 에칭 가스로서 HCl을 사용하고, 그리고 성막 가스로서 GeH4와 H2의 가스 혼합물을 사용하여 에피택셜 피처(122U)를 성장시킨다. 이 실시예에서 더 나아가, 동작(212)은 실리콘 게르마늄이 에피택셜 피처(122U)의 다른 영역들에서보다 딥(116)에서 더 빨리 성막되도록 HCl의 유량을 감소시킨다. 실시예에 있어서, 동작(212)에서의 HCl 유량은 약 100 내지 약 400 표준 입방 센티미터/분(sccm)의 범위 내이다. 동작(208, 210 및 212)에 의해, 디바이스(100)는 실질적으로 평면인 최상면(124)을 갖는 융기된 S/D 피처(122)를 제공받는다. 다양한 실시예에 있어서, 동작(210 및 212)은 200 내지 350 Torr의 범위 내의 압력과, 섭씨 650 내지 720도의 범위 내의 온도에서 수행될 수 있다.
동작(214)에서, 방법(200)(도 2)은 최종 디바이스를 형성하기 위한 추가의 동작으로 진행한다. 여기에는 다양한 프로세스가 포함된다. 일례에 있어서, 실리사이데이션(silicidation) 또는 게르마노-실리사이데이션이 에피택셜 피처(122U) 상에 형성된다. 예를 들어, 니켈 실리사이드와 같은 실리사이데이션은 에피택셜 피처(122U) 위에 금속층을 성막하고, 금속층이 에피택셜 피처(122U)에서 실리콘과 반응하여 금속 실리사이데이션을 형성하도록 금속층을 어닐링하고, 그 이후에 비반응 금속층을 제거함으로써 형성될 수 있다.
또다른 실시예에 있어서, 동작(214)은 게이트 스택(108)을 도 10에 나타낸 바와 같은 최종 게이트 스택(108a)으로 대체한다. 이 예에 더 나아가서, 게이트 스택(108)은 더미 게이트 유전체층(예를 들어, 실리콘 산화물) 및 더미 게이트 전극층(예를 들어, 폴리실리콘)을 갖는 플레이스홀더인 반면, 게이트 스택(108a)은 하이-k 게이트 유전체층, 적절한 n형 또는 p형 일 함수층, 및 금속 충전층을 갖는 하이-k 금속 게이트이다. 하이-k 게이트 유전체층, 일 함수층 및 금속 충전층은 도 3을 참조하여 논의된 적합한 재료를 사용할 수 있다. 이 예에 더 나아가서, 동작(214)은 기판(102) 위의 토포그래피를 커버하기 위해 기판(102) 위에 로우-k 유전체층(130)을 성막할 수 있다. 유전체층(130)은 TEOS(tetraethylorthosilicate) 산화물, 도핑되거나 도핑되지 않은 실리케이트 글라스, FSG(fused silica glass) 및/또는 다른 적합한 유전체 재료와 같은 재료를 포함할 수 있다. 유전체층(130)은 PECVD 프로세스, 유동성 CVD(FCVD) 또는 다른 적합한 성막 기술에 의해 성막될 수 있다. 일부 실시예에 있어서, 실리콘 질화물과 같은 유전체 재료를 갖는 콘택 에칭 정지층(도시되지 않음)이 로우-k 유전체층(130) 아래에 성막될 수 있다. 유전체층(130)이 성막된 후에, 동작(214)은 하나 이상의 에칭 프로세스를 사용하여 게이트 스택(108)을 제거하고, 하나 이상의 성막 프로세스를 사용하여 게이트 스택(108) 대신에 최종 게이트 스택(108a)을 형성한다.
추가의 예에서, 동작(214)은 도 11에 나타낸 바와 같이 에피택셜 피처(122U) 위에 있고 에피택셜 피처(122U)에 전기적으로 접속하는 S/D 콘택(126 또는 128)을 형성한다. 여기에는 다양한 프로세스가 포함된다. 예를 들어, 동작(124)은 유전체층(130)을 통하여 콘택 홀을 형성하기 위해 하나 이상의 리소그래피 프로세스 및 에칭 프로세스를 수행할 수 있다. 콘택 홀은 에피택셜 피처(122U)(또는 그 위의 실리사이데이션 또는 게르마노-실리사이데이션)를 노출시킨다. 실시예에 있어서, 콘택 홀은 도 1c 및 도 1d에 나타낸 바와 같이 에피택셜 피처(122U)의 가장 넓은 부분 아래로 연장된다. 그 다음에, 동작(124)은 S/D 콘택(126 또는 128)의 금속 재료가 인접한 피처로 확산하는 것을 방지하기 위해 컨택 홀의 저면 및 측벽 상에 배리어층(예를 들어, 126a 또는 128a)을 성막한다. 배리어층은 일례에서 TaN과 같은 유전체 재료를 포함한다. 이어서, 동작(124)은 콘택 홀 내의 나머지 공간을 충전하기 위해 금속층(예를 들어, 126b 또는 128b)을 성막한다. S/D 콘택(126 또는 128)은 이 실시예에서 배리어층 및 금속층을 포함한다. 배리어층 및 금속층의 성막은 등각(conformal) 또는 비등각(non-conformal) 성막 프로세스를 사용할 수 있다. 두 경우 모두, S/D 콘택(126 또는 128)과 아래놓인 에피택셜 피처(122U) 사이의 큰 계면은 에피택셜 피처(122U)의 실질적으로 평면인 최상면(124)으로부터 초래된다. 큰 계면은 S/D 접촉 저항을 유리하게 감소시킨다.
제한시키려는 의도는 없지만, 본 발명개시의 하나 이상의 실시예는 반도체 디바이스 및 그 형성에 많은 이점을 제공한다. 예를 들어, 융기된 S/D 피처는 실질적으로 평면인 최상면을 갖도록 성장될 수 있다. 실질적으로 평면인 최상면은 S/D 피처와 그 위에 배치된 S/D 콘택 사이에 큰 계면을 제공한다. 큰 계면은 등각 또는 비등각 S/D 콘택 성막으로 달성될 수 있으며, 그것은 제조 프로세스를 단순화한다. 본 발명개시의 실시예에 있어서, S/D 피처의 지오메트리는 특정 제조 단계에서 에피택셜 성장 조건을 변화시킴으로써 조정될 수 있다. 본 발명개시의 다양한 실시예는 기존의 제조 프로세스로 쉽게 통합될 수 있다.
실시예들
실시예 1.
반도체 디바이스에 있어서,
기판;
상기 기판 위에 있는 격리 구조물;
상기 기판 위에 있고, 상기 격리 구조물 밖으로 돌출한 2개의 핀들; 및
상기 2개의 핀들 위의 에피택셜 피처
를 포함하고,
상기 에피택셜 피처는 2개의 하위 부분들 및 1개의 상위 부분을 포함하고,
상기 2개의 하위 부분들은 각각 상기 2개의 핀들 위에 있고,
상기 상위 부분은 상기 2개의 하위 부분들 위에 있고,
상기 상위 부분은 상기 2개의 하위 부분들과는 상이한 도펀트 농도를 가지며,
상기 상위 부분의 최상면은 실질적으로 평면인 것인, 반도체 디바이스.
실시예 2.
실시예 1에 있어서,
핀 폭 방향을 따른 상기 상위 부분의 제 1 치수는 핀 높이 방향을 따른 상기 상위 부분의 제 2 치수보다 큰 것인, 반도체 디바이스.
실시예 3.
실시예 1에 있어서,
상기 상위 부분은 핀 폭 방향을 따라 상기 2개의 하위 부분들을 넘어 연장하고, 상기 2개의 하위 부분들의 좌측 및 우측으로의 상기 상위 부분의 연장부들은 상이한 두께를 갖는 것인, 반도체 디바이스.
실시예 4.
실시예 1에 있어서,
상기 에피택셜 피처는 n형 도펀트를 갖는 실리콘을 포함하는 것인, 반도체 디바이스.
실시예 5.
실시예 4에 있어서,
상기 상위 부분은 상기 하위 부분이 갖는 것보다 더 높은 농도의 n형 도펀트를 갖는 것인, 반도체 디바이스.
실시예 6.
실시예 1에 있어서,
상기 2개의 핀들은 2개의 소스/드레인(source/drain; S/D) 영역들 사이에 개재된 채널 영역을 갖고, 상기 에피택셜 피처는 상기 2개의 S/D 영역들 중 하나 위에 있고, 상기 반도체 디바이스는,
상기 격리 구조물 위에 있고, 상기 2개의 핀들의 상기 채널 영역과 맞물려 있는 게이트 스택
을 더 포함하는, 반도체 디바이스.
실시예 7.
실시예 1에 있어서,
상기 기판의 위와 상기 2개의 핀들의 측벽들 상에 있는 유전체층
을 더 포함하고,
상기 에피택셜 피처의 상기 2개의 하위 부분들은 상기 유전체층에 의해 적어도 부분적으로 둘러싸인 것인, 반도체 디바이스.
실시예 8.
실시예 1에 있어서,
상기 에픽택셜 피처의 상기 최상면 위에 있고, 상기 에픽택셜 피처에 전기적으로 접속된 콘택(contact) 피처
를 더 포함하는, 반도체 디바이스.
실시예 9.
실시예 8에 있어서,
상기 기판 위에 있고, 상기 격리 구조물 밖으로 돌출한 제 3 핀; 및
상기 제 3 핀 위에 있는 또다른 에피택셜 피처
를 더 포함하고,
상기 에피택셜 피처 및 상기 또다른 에피택셜 피처는 상이한 반도체 재료들을 포함하고,
상기 콘택 피처는 상기 또다른 에피택셜 피처의 표면 위에 있고, 상기 또다른 에피택셜 피처에 전기적으로 접속되어 있는 것인, 반도체 디바이스.
실시예 10.
실시예 9에 있어서,
상기 에픽택셜 피처는 n형 도핑된 실리콘을 포함하고, 상기 또다른 에피택셜 피처는 p형 도핑된 실리콘 게르마늄을 포함한 것인, 반도체 디바이스.
실시예 11.
반도체 디바이스에 있어서,
기판;
상기 기판 위의 격리 구조물;
상기 기판 위에 있고, 상기 격리 구조물 밖으로 돌출한 2개의 핀들; 및
상기 2개의 핀들 위에 있는 에피택셜 피처
를 포함하고,
상기 에피택셜 피처는 2개의 하위 부분들 및 1개의 상위 부분을 포함하고,
상기 2개의 하위 부분들은 각각 상기 2개의 핀들 위에 있고,
상기 상위 부분은 상기 2개의 하위 부분들 위에 있고, 상기 2개의 하위 부분들에 물리적으로 접속되어 있고,
상기 상위 부분의 최상면은 실질적으로 평면이고, 상기 기판의 최상면에 실질적으로 평행하며,
상기 상위 부분 및 상기 2개의 하위 부분들은 동일한 유형의 도펀트를 갖지만 상이한 도펀트 농도들을 갖는 것인, 반도체 디바이스.
실시예 12.
실시예 11에 있어서,
상기 2개의 핀들은 30나노미터(㎚) 내지 50㎚의 범위의 피치를 가지며, 핀 폭 방향을 따른 상기 상위 부분의 치수는 55㎚ 내지 75㎚의 범위에 이르는 것인, 반도체 디바이스.
실시예 13.
실시예 11에 있어서,
상기 하위 부분들은 제1 농도의 n형 도펀트를 갖는 실리콘을 포함하고, 상기 상위 부분은 제2 농도의 n형 도펀트를 갖는 실리콘을 포함하며, 상기 제2 농도는 상기 제1 농도보다 높은 것인, 반도체 디바이스.
실시예 14.
반도체 디바이스를 형성하는 방법에 있어서,
기판, 상기 기판 위의 격리 구조물, 및 상기 기판으로부터 상기 격리 구조물을 관통하여 연장하는 적어도 2개의 핀들을 제공하는 단계;
상기 적어도 2개의 핀들을 에칭함으로써 적어도 2개의 트렌치들을 형성하는 단계;
상기 적어도 2개의 트렌치들 내에 제 1 에피택셜 피처들을 성장시키는 단계;
제 1 성장 조건에서 상기 제 1 에피택셜 피처들 위에 제 2 에피택셜 피처들을 성장시키는 단계; 및
상기 제 2 에피택셜 피처들이 목표 임계 치수에 도달한 후에, 상기 제 1 성장 조건과는 상이한 제 2 성장 조건에서 상기 제 2 에피택셜 피처들을 성장시키는 단계
를 포함하는, 반도체 디바이스를 형성하는 방법.
실시예 15.
실시예 14에 있어서,
상기 제 2 에피택셜 피처들은 실리콘을 포함하고, 상기 제 1 성장 조건은 디클로로실란(SiH2Cl2)을 갖는 제 1 전구체를 이용하며, 상기 제 2 성장 조건은 상기 제 1 전구체와 실란을 이용하는 것인, 반도체 디바이스를 형성하는 방법.
실시예 16.
실시예 15에 있어서,
상기 실란은 SiH4인 것인, 반도체 디바이스를 형성하는 방법.
실시예 17.
실시예 14에 있어서,
상기 제 2 에피택셜 피처들은 실리콘 게르마늄을 포함하며, 상기 제 2 성장 조건은 상기 제 1 성장 조건이 이용하는 것보다 더 적은 HCl을 이용하는 것인, 반도체 디바이스를 형성하는 방법.
실시예 18.
실시예 14에 있어서,
상기 제 1 에피택셜 피처들을 제 1 도펀트로 제 1 도펀트 농도까지 도핑하는 단계; 및
상기 제 2 에피택셜 피처들을 상기 제 1 도펀트로, 상기 제 1 도펀트 농도보다 높은 제 2 도펀트 농도까지 도핑하는 단계
를 더 포함하는, 반도체 디바이스를 형성하는 방법.
실시예 19.
실시예 14에 있어서,
상기 적어도 2개의 핀들의 에칭 전에, 상기 적어도 2개의 핀들의 측벽들의 부분들 상에 유전체층을 형성하는 단계
를 더 포함하며, 상기 제 1 에피택셜 피처들은 상기 유전체층에 의해 적어도 부분적으로 둘러싸여 있는 것인, 반도체 디바이스를 형성하는 방법.
실시예 20.
실시예 14에 있어서,
상기 제 2 에피택셜 피처는 실질적인 평평한 최상면을 갖도록 성장되며, 상기 방법은,
상기 실질적인 평평한 최상면 위에 콘택을 형성하는 단계
를 더 포함하는, 반도체 디바이스를 형성하는 방법.
당업자들이 본 발명개시의 양상들을 보다 잘 이해할 수 있도록 상기 여러 실시예들의 피처들을 약술하였다. 당업자는 여기에 도입된 실시예들과 동일한 목적을 수행하고, 그리고/또는 동일한 이점를 성취하는 다른 프로세스들 및 구조들을 설계하거나 수정하기 위해 본 발명개시를 기초로서 쉽게 사용할 수 있다는 것을 인지해야 한다. 또한, 당업자는 그러한 동등한 구성이 본 발명개시의 사상 및 범주로부터 벗어나지 않고, 이들은 본 발명개시의 사상 및 범주를 벗어나지 않으면서 다양한 수정, 대체 및 변경이 가능하다는 것을 인지해야 한다.

Claims (10)

  1. 반도체 디바이스에 있어서,
    기판;
    상기 기판 위에 있는 격리 구조물;
    상기 기판 위에 있고, 상기 격리 구조물 밖으로 돌출한 제 1 핀 및 제 2 핀;
    상기 제 1 핀 및 상기 제 2 핀 위에 있는 제 1 에피택셜 피처;
    상기 기판 위에 있고, 상기 격리 구조물 밖으로 돌출한 제 3 핀;
    상기 제 3 핀 위에 있는 제 2 에피택셜 피처; 및
    상기 제 1 에피택셜 피처 및 상기 제 2 에피택셜 피처 위에 있고, 상기 제 1 에피택셜 피처를 상기 제 2 에피택셜 피처와 전기적으로 접속시키는 콘택 피처 - 상기 콘택 피처는 상기 제 1 에피택셜 피처와 상기 제 2 에피택셜 피처 사이에 배치되는 하위 부분을 포함함 -
    를 포함하고,
    상기 제 1 에피택셜 피처는 2개의 하위 부분들 및 1개의 상위 부분을 포함하고,
    상기 2개의 하위 부분들은 각각 상기 제 1 핀 및 상기 제 2 핀 위에 있고,
    상기 상위 부분은 상기 2개의 하위 부분들 위에 있고,
    상기 상위 부분의 하부는 상기 2개의 하위 부분들 내에 임베딩(embed)되고,
    상기 상위 부분은 상기 2개의 하위 부분들과는 상이한 도펀트 농도를 가지며,
    상기 상위 부분의 최상면은 평면인 것인, 반도체 디바이스.
  2. 제 1 항에 있어서,
    핀 폭 방향을 따른 상기 상위 부분의 제 1 치수는 핀 높이 방향을 따른 상기 상위 부분의 제 2 치수보다 큰 것인, 반도체 디바이스.
  3. 제 1 항에 있어서,
    상기 상위 부분은 핀 폭 방향을 따라 상기 2개의 하위 부분들을 넘어 연장하고, 상기 2개의 하위 부분들의 좌측 및 우측으로의 상기 상위 부분의 연장부들은 상이한 두께를 갖는 것인, 반도체 디바이스.
  4. 제 1 항에 있어서,
    상기 제 1 에피택셜 피처는 n형 도펀트를 갖는 실리콘을 포함하는 것인, 반도체 디바이스.
  5. 제 1 항에 있어서,
    상기 제 1 핀 및 상기 제 2 핀은 2개의 소스/드레인(source/drain; S/D) 영역들 사이에 개재된 채널 영역을 갖고, 상기 제 1 에피택셜 피처는 상기 2개의 S/D 영역들 중 하나 위에 있고, 상기 반도체 디바이스는,
    상기 격리 구조물 위에 있고, 상기 제 1 핀 및 상기 제 2 핀의 상기 채널 영역과 맞물려 있는 게이트 스택
    을 더 포함하는, 반도체 디바이스.
  6. 제 1 항에 있어서,
    상기 제 1 핀 및 상기 제 2 핀의 측벽들 상에, 그리고 상기 기판 위에 있는 유전체층
    을 더 포함하고,
    상기 제 1 에피택셜 피처의 상기 2개의 하위 부분들은 상기 유전체층에 의해 적어도 부분적으로 둘러싸인 것인, 반도체 디바이스.
  7. 제 1 항에 있어서,
    상기 콘택 피처는 상기 제 1 에피택셜 피처의 상기 최상면 위에 있는 것인, 반도체 디바이스.
  8. 제 7 항에 있어서,
    상기 제 1 에피택셜 피처 및 상기 제 2 에피택셜 피처는 상이한 반도체 재료들을 포함하는 것인, 반도체 디바이스.
  9. 반도체 디바이스에 있어서,
    기판;
    상기 기판 위에 있는 격리 구조물;
    상기 기판 위에 있고, 상기 격리 구조물 밖으로 돌출한 제 1 핀 및 제 2 핀;
    상기 제 1 핀 및 상기 제 2 핀 위에 있는 제 1 에피택셜 피처;
    상기 기판 위에 있고, 상기 격리 구조물 밖으로 돌출한 제 3 핀;
    상기 제 3 핀 위에 있는 제 2 에피택셜 피처; 및
    상기 제 1 에피택셜 피처 및 상기 제 2 에피택셜 피처 위에 있고, 상기 제 1 에피택셜 피처를 상기 제 2 에피택셜 피처와 전기적으로 접속시키는 콘택 피처 - 상기 콘택 피처는 상기 제 1 에피택셜 피처와 상기 제 2 에피택셜 피처 사이에 배치되는 하위 부분을 포함함 -
    를 포함하고,
    상기 제 1 에피택셜 피처는 2개의 하위 부분들 및 1개의 상위 부분을 포함하고,
    상기 2개의 하위 부분들은 각각 상기 제 1 핀 및 상기 제 2 핀 위에 있고,
    상기 상위 부분은 상기 2개의 하위 부분들 위에 있고, 상기 2개의 하위 부분들에 물리적으로 접속되어 있으며, 상기 2개의 하위 부분들은 상기 상위 부분의 하부 주위를 둘러싸며,
    상기 상위 부분의 최상면은 평면이고, 상기 기판의 최상면에 평행하며,
    상기 상위 부분 및 상기 2개의 하위 부분들은 동일한 유형의 도펀트를 갖지만 상이한 도펀트 농도들을 갖는 것인, 반도체 디바이스.
  10. 반도체 디바이스를 형성하는 방법에 있어서,
    기판, 상기 기판 위의 격리 구조물, 및 상기 기판으로부터 상기 격리 구조물을 관통하여 연장하는 적어도 2개의 핀들을 제공하는 단계;
    상기 적어도 2개의 핀들을 에칭함으로써 적어도 2개의 트렌치들을 형성하는 단계;
    상기 적어도 2개의 트렌치들 내에 제 1 에피택셜 피처들을 성장시키는 단계;
    제 1 성장 조건에서 상기 제 1 에피택셜 피처들 위에 제 2 에피택셜 피처들을 성장시키는 단계로서, 상기 제 2 에피택셜 피처들의 하부들은 상기 제 1 에피택셜 피처들 내에 임베딩(embed)되는 것인, 상기 제 2 에피택셜 피처들을 성장시키는 단계;
    상기 제 2 에피택셜 피처들이 목표 임계 치수에 도달한 후에, 상기 제 1 성장 조건과는 상이한 제 2 성장 조건에서 상기 제 2 에피택셜 피처들을 성장시키는 단계;
    상기 기판 위에 상기 격리 구조물 밖으로 돌출하는 또다른 핀을 제공하는 단계;
    상기 또다른 핀 위에 또다른 에피택셜 피처를 성장시키는 단계;
    상기 제 2 에피택셜 피처들 및 상기 또다른 에피택셜 피처 위에 콘택 피처를 제공하는 단계
    를 포함하고,
    상기 콘택 피처는 상기 제 2 에피택셜 피처들을 상기 또다른 에피택셜 피처와 전기적으로 접속시키고, 상기 콘택 피처는 상기 제 2 에피택셜 피처들과 상기 또다른 에피택셜 피처 사이에 배치되는 하위 부분을 포함하는 것인, 반도체 디바이스를 형성하는 방법.
KR1020160173387A 2015-12-29 2016-12-19 최상면이 평면인 에피택셜 피처를 갖는 finfet 디바이스 및 그 제조 방법 KR101949568B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562272369P 2015-12-29 2015-12-29
US62/272,369 2015-12-29
US15/277,478 2016-09-27
US15/277,478 US10490552B2 (en) 2015-12-29 2016-09-27 FinFET device having flat-top epitaxial features and method of making the same

Publications (2)

Publication Number Publication Date
KR20170078524A KR20170078524A (ko) 2017-07-07
KR101949568B1 true KR101949568B1 (ko) 2019-02-18

Family

ID=59087989

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160173387A KR101949568B1 (ko) 2015-12-29 2016-12-19 최상면이 평면인 에피택셜 피처를 갖는 finfet 디바이스 및 그 제조 방법

Country Status (4)

Country Link
US (1) US10490552B2 (ko)
KR (1) KR101949568B1 (ko)
CN (1) CN106935652B (ko)
TW (1) TWI631612B (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490459B2 (en) 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
DE102016119024B4 (de) * 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10141431B1 (en) * 2017-07-31 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy source/drain regions of FinFETs and method forming same
US10141231B1 (en) 2017-08-28 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
US11037924B2 (en) 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10361279B2 (en) * 2017-11-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing FinFET structure with doped region
US10164048B1 (en) 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10886465B2 (en) 2018-02-28 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Resistive random access memory device
KR102476142B1 (ko) * 2018-03-14 2022-12-09 삼성전자주식회사 반도체 장치
US11227918B2 (en) * 2018-07-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Melt anneal source and drain regions
US11264237B2 (en) * 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
US11069791B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
TW202139270A (zh) 2020-02-27 2021-10-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11404570B2 (en) 2020-02-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with embedded ferroelectric field effect transistors
US11515211B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
US11257712B2 (en) * 2020-05-13 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact formation methods and devices
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120091538A1 (en) * 2010-10-13 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet and method of fabricating the same
US20120153387A1 (en) * 2010-12-21 2012-06-21 Murthy Anand S Transistors with high concentration of boron doped germanium
KR101376451B1 (ko) * 2012-08-30 2014-03-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 FinFET 디바이스의 제조 방법

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) * 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
KR102038486B1 (ko) * 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9287382B1 (en) 2014-11-06 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for semiconductor device
US9287264B1 (en) * 2014-12-05 2016-03-15 Globalfoundries Inc. Epitaxially grown silicon germanium channel FinFET with silicon underlayer
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
US10032910B2 (en) * 2015-04-24 2018-07-24 GlobalFoundries, Inc. FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120091538A1 (en) * 2010-10-13 2012-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet and method of fabricating the same
US20120153387A1 (en) * 2010-12-21 2012-06-21 Murthy Anand S Transistors with high concentration of boron doped germanium
KR101376451B1 (ko) * 2012-08-30 2014-03-19 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 FinFET 디바이스의 제조 방법

Also Published As

Publication number Publication date
TW201735154A (zh) 2017-10-01
TWI631612B (zh) 2018-08-01
CN106935652A (zh) 2017-07-07
US20170186748A1 (en) 2017-06-29
CN106935652B (zh) 2019-12-03
KR20170078524A (ko) 2017-07-07
US10490552B2 (en) 2019-11-26

Similar Documents

Publication Publication Date Title
KR101949568B1 (ko) 최상면이 평면인 에피택셜 피처를 갖는 finfet 디바이스 및 그 제조 방법
US11239341B2 (en) Horizontal gate all-around device having wrapped-around source and drain
US11532735B2 (en) Self-aligned epitaxy layer
US11031398B2 (en) Structure and method for semiconductor device
CN109103262B (zh) 半导体结构及其制造方法
US11908742B2 (en) Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
US10707328B2 (en) Method of forming epitaxial fin structures of finFET
KR101656952B1 (ko) Fin 피처의 구조물 및 그 제조 방법
US10103249B2 (en) FinFET device and method for fabricating the same
CN109786446A (zh) 半导体装置及其形成方法
US20230378181A1 (en) Finfet device having flat-top epitaxial features and method of making the same
CN109473398B (zh) 半导体元件及其制造方法
TW202416386A (zh) 半導體裝置及其製造方法
CN115841948A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant