TW201700764A - 形成金屬-絕緣體-半導體結構之方法及積體電路製造方法 - Google Patents

形成金屬-絕緣體-半導體結構之方法及積體電路製造方法 Download PDF

Info

Publication number
TW201700764A
TW201700764A TW105118684A TW105118684A TW201700764A TW 201700764 A TW201700764 A TW 201700764A TW 105118684 A TW105118684 A TW 105118684A TW 105118684 A TW105118684 A TW 105118684A TW 201700764 A TW201700764 A TW 201700764A
Authority
TW
Taiwan
Prior art keywords
metal
substrate
precursor
semiconductor
forming
Prior art date
Application number
TW105118684A
Other languages
English (en)
Other versions
TWI658166B (zh
Inventor
湯福
麥克尤金 吉文斯
雅各赫夫曼 伍德魯夫
謝琦
強威廉 梅斯
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201700764A publication Critical patent/TW201700764A/zh
Application granted granted Critical
Publication of TWI658166B publication Critical patent/TWI658166B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02485Other chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在一些態樣中,提供形成金屬硫族化物薄膜之方法。根據一些方法,金屬硫族化物薄膜以循環沉積製程在反應空間中的基材上沉積,其中至少一個循環包括使該基材與第一氣相金屬反應物及第二氣相硫族反應物交替地且依次地接觸。在一些態樣中,提供在基材表面上形成三維結構之方法。在一些實施例中,該方法包括在基材與導電層之間形成金屬硫族化物介電層。在一些實施例中,該方法包括形成包括金屬硫族化物介電層之MIS型接觸結構。

Description

在半導體元件形成金屬硫族化物薄膜的方法
本發明通常係關於半導體元件製造領域且更特定言之係關於金屬硫族化物薄膜及例如藉由原子層沉積(atomic layer deposition,ALD)製程形成其之方法。例如,金屬硫族化物薄膜可藉由ALD製程形成且可充當在金屬-絕緣體-半導體(MIS)結構中之介電層。
隨著在積體電路中半導體元件之維度變得愈來愈小,該些元件之構成部分的大小也變得更小。例如,電晶體之尺寸不斷減小,導致減小電晶體源極/汲極區之尺寸。該些較小之源極/汲極區提供用於電連接源極/汲極區到其他電部件之源極/汲極觸點(source/drain contact)的較小接觸面積。然而,較小接觸面積會造成接觸電阻不合需要地增加。因此,存在對於形成具有低接觸電阻之源極/汲極接觸結構之方法的需要。
在一些態樣中,提供形成金屬硫族化物薄膜之方法。根據一些方法,金屬硫族化物薄膜在反應空間中以循環製程在基材上沉積,其中至少一個循環包括使該基材與氣相第一金屬前驅物及第二氣相硫族前驅物交替地且依次地接觸。在一些實施例中,該金屬反應物包含選自由Mg、Sr、Be、Ca、Ba、Ni、Zn、Cd及In組成之群之金屬。
在一些實施例中,形成金屬硫族化物薄膜之方法包括在使該基材與該第一氣相金屬前驅物接觸之後自該基材移除過量之氣相金屬前驅物及反應副產物。在一些實施例中,形成金屬硫族化物薄膜之方法包括在使該基材與第二氣相硫族前驅物接觸之後自該基材移除過量之氣相第二硫族前驅物及反應副產物。在一些實施例中,在至少一個沉積循環中在該第一前驅物接觸該基材之前該第二前驅物接觸該基材。
根據一些實施例,金屬硫族化物膜使用具有至少一種環戊二烯基配位體之金屬前驅物形成。在一些實施例中,該金屬硫族化物薄膜之金屬為鎂(Mg)。在一些實施例中,該金屬前驅物為Mg(Cp)2 或其衍生物。在一些實施例中,該金屬硫族化物薄膜之金屬為鍶(Sr)。在一些實施例中,該金屬前驅物為Sr(Cp)2 或其衍生物。
根據一些實施例,形成金屬硫族化物薄膜之方法包括使用H2 S、H2 Se或H2 Te作為硫族化物前驅物。在一些實施例中,該硫族化物前驅物包含(NH4 )2 S;(NH4 )2 Se;(NH4 )2 Te;元素或原子S、Se、Te;含S、含Se或含Te之電漿;或S-自由基、Se-自由基或Te-自由基。在一些實施例中,所得金屬硫族化物薄膜包含BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe或InTe。
在一些態樣中,提供在基材表面上形成金屬-絕緣體-半導體(MIS)結構之方法。在一些實施例中,該等方法包括提供包括半導體表面之基材、在該半導體表面之上沉積金屬硫族化物薄膜及在該金屬硫族化物薄膜之上沉積金屬層。在一些實施例中,該金屬硫族化物薄膜之金屬包含以下金屬中之至少一種:Mg、Sr、Be、Ca、Ba、Ni、Zn、Cd及In。在一些實施例中,該金屬硫族化物薄膜之硫族元素包含硫、硒及碲中之至少一種。在一些實施例中,該金屬硫族化物薄膜具有介於約0.1 nm與約5 nm之間的厚度。在一些實施例中,該金屬硫族化物薄膜之金屬包含以下金屬中之至少一種:Be、Mg、Ca、Ba、Sr、Y、Sc、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Al、Si、Ni、Zn、Cd、Pb、In、Ga、Ge、Gd、Ta、Mo及W。在一些實施例中,該金屬硫族化物薄膜包含以下各項中之至少一種:BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe及InTe。在一些實施例中,該半導體表面包含矽、矽鍺、第III-V族半導體、第II-VI族半導體、2D半導體或其組合。在一些實施例中,該金屬層包含以下各項中之至少一種:Ti、Al、Zr、Hf、V、Ta、Nb、Cr、Mo、W、Co、TiN、TiC、TiAlC、TaC、TaAlC、NbAlC、TiAl、TaAl、TaN、TaCN、WN及TiWN。
在一些態樣中,提供在基材表面上形成金屬-絕緣體-半導體(MIS)結構之方法。在一些實施例中,該方法包括藉由原子層沉積(ALD)製程在該基材表面上形成金屬硫族化物薄膜,該原子層沉積(ALD)製程包括使該基材表面與金屬前驅物及硫族元素前驅物交替地且依次地接觸;及在該金屬硫族化物薄膜之上形成金屬層。在一些實施例中,該金屬前驅物包含以下金屬中之至少一種:Mg、Sr、Be、Ca、Ba、Ni、Zn、Cd及In。在一些實施例中,該硫族元素前驅物選自以下各項中之至少一種:元素S、元素Se、元素Te、S電漿、Se電漿、Te電漿、H2 S、H2 Se、H2 Te、(NH4 )2 S, (NH4 )2 Se及(NH4 )2 Te。在一些實施例中,該金屬前驅物包括環戊二烯基配位體。在一些實施例中,該金屬前驅物包括Mg(Cp)2 或Sr(Cp)2 。在一些實施例中,該方法進一步包括在使用ALD製程在該基材表面上形成金屬硫族化物薄膜之前使該基材表面經受預處理製程,其中該預處理製程包括將該基材表面暴露於以下各項中之至少一種:HCl、HF、HBr、Cl2 、HF、H2 S、H2 Se、H2 Te、(NH4 )2 S、(NH4 )2 Se及(NH4 )2 Te。在一些實施例中,該金屬硫族化物薄膜具有介於約0.1 nm與約5 nm之間的厚度。在一些實施例中,該金屬硫族化物薄膜包含以下材料中之至少一種:BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe及InTe。
在一些態樣中,提供積體電路製造之方法。在一些實施例中,該方法包括藉由使基材表面與金屬前驅物及硫族元素前驅物交替地且依次地接觸而在半導體基材之源極/汲極區之上形成金屬硫族化物介電層,及在該介電層之上形成金屬電極。在一些實施例中,該金屬硫族化物薄膜包含以下材料中之至少一種:BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe及InTe。在一些實施例中,該金屬硫族化物薄膜包含以下材料中之至少一種:MgS、SrS、MgSe、SrSe、MgTe及SrTe。在一些實施例中,該金屬電極包含以下各項中之至少一種:Ti、Al、Zr、Hf、V、Ta、Nb、Cr、Mo、W、Co、TiN、TiC、TiAlC、TaC、TaAlC、NbAlC、TiAl、TaAl、TaN、TaCN、WN及TiWN。在一些實施例中,該金屬電極包含鈦。在一些實施例中,該源極/汲極區包含矽、矽鍺、第III-V族半導體、第II-VI族半導體、2D半導體或其組合。在一些實施例中,該源極/汲極區包含鍺。在一些實施例中,該源極/汲極區包含n-摻雜的鍺,且該金屬電極包含鈦。該金屬前驅物包含Mg(Cp)2 或Sr(Cp)2 ,且該硫族元素前驅物包含H2 S、H2 Se或H2 Te。在一些實施例中,該金屬硫族化物介電層包含MgS或SrS。
在源極/汲極接觸結構與源極/汲極區之間的接觸電阻可藉由降低接觸電阻率來降低。在不受理論限制之情況下,據信導致高接觸電阻率的一種現象為在半導體價帶邊緣處費米能級釘紮(Fermi level pinning)。據信該費米能級釘紮為了用以接觸形成源極/汲極區之半導體的許多源極/汲極接觸金屬而發生。結果產生高肖特基障壁高度(Schottky Barrier Height,SBH)。因此,據信減小費米能級釘紮提供降低接觸電阻率的一種方法。
已經提出金屬-絕緣體-半導體(Metal-Insulator-Semiconductor,MIS)結構為實現費米能級去釘紮(Fermi level depinning)的一種方式。該等MIS結構包括充當介於源極/汲極區之半導體材料與金屬接觸之間的電子穿隧阻障層,由此通常形成具有半導體(源極/汲極區)、在該半導體之上且與該半導體接觸之阻障層及在該阻障層之上且與該阻障層接觸之金屬的接觸結構。據信該薄絕緣膜促進費米能級去釘紮及SBH降低。該薄介電膜亦增加在半導體與金屬之間的電阻。儘管如此,藉由降低SBH,若阻障層充分薄,則獲得接觸電阻率之總體降低。
然而,已經發現,MIS結構仍可能具有不合需要地高的SBH。例如,已經研究TiO2 為用於該等MIS結構之阻障層,因為其相對於Ge或Si表現出可以忽略的導帶偏移(conduction band offset)。較佳地,MIS結構之阻障層相對於MIS結構之半導體層將表現出低頻帶偏移。然而,發現在Al/TiO2 /n-Ge MIS系統中獲得之SBH為約0.45 eV,其仍然高於對於一些應用所需要之SBH。
當在MIS結構中使用時,金屬氧化物阻障層亦可造成問題。例如,金屬氧化物沉積可不合需要地氧化下伏半導體表面,使得鈍化層成為必需的。
已經提出源極/汲極區之半導體表面的硫族元素鈍化為減小SBH的另一方法(例如,Thathachary等人,APL 96 152108, 2010)。在不受理論限制的情況下,據信硫族元素鈍化亦藉由減小費米能級釘紮降低SBH。
然而,半導體表面之硫族元素鈍化可使得源極/汲極結構難以形成,因為硫族元素鈍化使該表面惰性非常高且難以在其上沉積材料。該困難可使得MIS型接觸結構之形成特別具有挑戰性,因為與鈍化表面接觸形成之阻障層的品質(包括均勻性及厚度)對源極結構之電性質具有直接影響。
根據本文揭示之實施例,可形成具有低SBH之源極/汲極接觸結構。在一些實施例中,MIS型接觸結構藉由在半導體表面上藉由原子層沉積(ALD)型製程沉積當作阻障層的金屬硫族化物薄膜為而形成。視情況地,該半導體表面可在沉積金屬硫族化物阻障層之前預處理。該金屬硫族化物薄膜隨後可視情況經受後沉積處理。金屬接著沉積在阻障層上,例如以形成金屬電極或電觸點。較佳地,該MIS接觸結構包括直接接觸該金屬硫族化物阻障層之金屬,其轉而又直接接觸源極/汲極區之半導體表面。
有利地,根據一些實施例形成之MIS接觸結構可具有降低的SBH。例如,該SBH可為約0.11 eV或約0.11 eV以下(包括約0.07 eV至約0.11 eV)。此外,本文揭示之金屬硫族化物阻障層及其製造方法可提供與硫族元素表面鈍化類似之優勢,例如防止或降低半導體表面由於後來的氧化物生長引起的可能重氧化。另外,如本文所述之金屬硫族化物阻障層可產生簡化之積體,此歸因於除了金屬硫族化物鈍化層之外還消除了對於氧化物阻障層之需要。
在一些實施例中,提供金屬硫族化物薄膜、形成金屬硫族化物薄膜之方法及形成合併金屬硫族化物薄膜之三維結構的方法。在一些實施例中,該金屬硫族化物薄膜之金屬可選自例如鎂、鍶、鈹、鈣、鋇、鎳、鋅、鎘及銦中之任何數量的金屬。在一些實施例中,該金屬硫族化物薄膜之硫族元素可選自由S、Se及Te組成之群。對於本揭示內容之目的,氧在本文中將不被稱為硫族元素。 金屬硫族化物薄膜之原子層沉積(ALD)
在一些實施例中,用於形成金屬硫族化物薄膜之方法包括ALD製程。例如,基材可與包含金屬之第一反應物(亦稱為金屬前驅物)及包含硫族元素之第二反應物(亦稱為硫族元素前驅物)交替地且依次地接觸。可選擇該金屬前驅物以在該金屬硫族化物介電層或阻障層中提供所要之金屬。因此,在一些實施例中,選擇該金屬反應物以提供與在下伏基材區中的金屬和/或在接著將沉積之金屬層中的金屬不同的金屬。在一些實施例中,金屬硫族化物薄膜包含以下金屬中之一或多種:Be、Mg、Ca、Ba、Sr、Y、Sc、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Al、Si、Ni、Zn、Cd、Pb、In、Ga、Ge、Gd、Ta、Mo及W。
在一些實施例中,形成金屬硫族化物膜之方法包括ALD循環,其中金屬源化學物(metal source chemical)及硫族元素源化學物(chalcogen source chemical)交替地且依次地脈衝到包括基材之反應空間中。將金屬源化學物提供到該反應空間中,其中該金屬源化學物中之至少一些接觸並吸附到基材表面上。接著將硫族元素源化學物提供到該反應空間中並使其與吸附的金屬源化學物反應以形成金屬硫族化物。在一些實施例中,該硫族元素源化學可在使用該金屬源化學之前使用。在一些此類實施例中,硫族元素可結合到基材上且隨後之金屬源化學物與沉積之硫族元素反應,或者硫族元素源化學物可改變或移除及替代對硫族元素-Hx -基團或包含硫族元素之其他表面物質的表面終止。
根據需要重複此種ALD循環以形成具有合乎需要之厚度的膜。在一些實施例中,重複該ALD循環,直至形成金屬硫族化物之完整緊密層。在一些實施例中,重複該ALD循環,直至形成金屬硫族化物之物理連續層。在一些實施例中,重複該ALD循環,直至達到最小厚度,其中沉積之層給出所要電性質。例如,合併該沉積層之結構可具有約0.11 eV或約0.11 eV以下之肖特基障壁高度(SBH)。在一些實施例中,該合乎需要之厚度將為認為足夠厚以完全覆蓋基材表面之通道區域的厚度。在一些實施例中,該合乎需要之厚度為足以本質上防止諸如在後續加工期間基材之下伏通道材料氧化的厚度。在一些實施例中,該合乎需要之厚度足以充當MIS結構中之電介質的厚度。
在一些實施例中,該沉積之金屬硫族化物包含至少約5at-%之硫族元素,較佳大於約15at-%之硫族元素且更佳大於約30at-%之硫族元素且最佳大於約40at-%之硫族元素。視金屬氧化態而定,該金屬硫族化物可包含約45at-%至約75at-%之硫族元素。
在一些實施例中,諸如其中該金屬硫族化物薄膜合併至三維構造中,所選擇之金屬可基於例如在該構造中使用之基材及/或金屬層來選擇。例如,在一些實施例中,需要該金屬硫族化物薄膜利用與在覆蓋層及/或下伏層中存在之金屬不同的金屬。
由於該ALD型製程之性質,幾何學上挑戰性的應用也是可能的。基材表面可包括一或多個三維結構。在一些實施例中,一或多個結構可具有1:1至10:1或10:1以上之縱橫比。
根據一些實施例形成之膜的厚度等於約0.1 nm且小於或等於約5 nm;然而,所選擇之實際厚度可視薄膜之預定應用而定。在一些實施例中,該厚度將介於約0.1 nm與約3 nm之間。在一些實施例中,該厚度將介於約1 nm與約3 nm之間。在一些實施例中,該厚度介於約2 nm與約3 nm之間。另一方面,在一些應用中,大於5 nm、10 nm或甚至20 nm之厚度將是合乎需要的。
ALD型製程基於受控之自限性表面反應且可提供對膜組成之精確控制。氣相反應通常藉由使基材與反應物交替地且依次地接觸而避免。氣相反應物例如藉由在反應物脈衝之間自反應室中移除過量之反應物及/或反應物副產物而在反應室中彼此分離。移除過量之反應物及/或反應物副產物可例如藉由在反應性氣體之每次脈衝之後使用真空及/或吹掃氣體吹掃反應空間來實現。吹掃氣體亦可在反應性氣體之每次脈衝之前、期間及之後連續地流動。例如,在一些實施例中,該吹掃氣體亦可充當一或多種反應物之載氣。
簡要地講,將基材裝載到反應室中並加熱到合適之沉積溫度,通常在降低的壓力下。在一些實施例中,將在其上發生沉積之基材表面包含矽。在一些實施例中,將在其上發生沉積之基材表面包含鍺。在一些實施例中,該基材表面包含一或多種III-V族材料。在一些實施例中,將在其上發生沉積之基材表面包含高遷移率材料。在一些實施例中,該基材表面包含InGaAs。其他合適之基材表面包括GaAs、InP、InAs及GaSb。在一些實施例中,該基材表面可包含諸如MoS或石墨烯之2D材料。在一些實施例中,該基材可為300 mm或450 mm晶圓。在一些實施例中,該基材表面包含多種材料,諸如一或多種III-V族材料、矽、氧化矽、氮化矽、Six Ge1-x 或Ge。例如,在一些實施例中,該基材可為半導體晶圓,或者可為具有覆蓋(overlying)導電及/或介電材料之半導體晶圓。在一些實施例中,該基材可為含有鍺層、矽鍺層及III-V族半導體層中之一或多層的矽晶圓。因此,基材表面可包括矽、鍺、矽及鍺或III-V族或II-VI族半導體或諸如MoS或石墨烯之2D材料。該III-V族半導體可含有Ga及As。
沉積溫度維持低於前驅物熱分解溫度,但處於足以避免反應物縮合並提供用於所要表面反應之活化能的足夠高的水平。當然,對於任何給定之ALD反應之適當溫度窗將視所包括之表面終止及反應物物質而定。該反應溫度可為約室溫至約500℃或約20℃至約500℃。在一些實施例中,該反應溫度為約室溫至約400℃。在一些實施例中,該反應溫度為約150℃至約400℃、約200℃至約350℃或約250℃至約300℃。
反應壓力可為約0.1托至約760托。在一些實施例中,該反應壓力可為約0.5托至約大氣壓。
在一些實施例中,使用至少一個ALD循環以形成金屬硫族化物薄膜。根據一些實施例形成之膜的厚度等於約0.1 nm且小於或等於約5 nm;然而,所選擇之實際厚度可視薄膜之預定應用而定。在一些實施例中,該厚度將介於約0.1 nm與約3 nm之間。在一些實施例中,該厚度將介於約1 nm與約3 nm之間。在一些實施例中,該厚度介於約2 nm與約3 nm之間。另一方面,在一些應用中,大於5 nm、10 nm或甚至20 nm之厚度將是合乎需要的。在一些實施例中,可能需要用某一次數之沉積循環,諸如約5個沉積循環至約20個沉積循環、較佳地約7個循環至約15個循環形成膜,而非目標厚度。
如上所提,每次脈衝或每一循環之階段較佳為自限性的。在各階段中供應過量之反應物以使敏感(susceptible)結構表面飽和。表面飽和確保反應物佔據所有可用之反應性位點(例如,經歷物理尺寸或「空間位阻」限制)且因此提供優良階梯式覆蓋。在一些配置中,自限性行為之程度可藉由例如允許反應物脈衝之某一重疊以相對於保形性交替換位沉積加速(藉由允許一些CVD型反應)。用在時間和空間上完全分離之反應物的理想ALD條件提供自限性行為且因此提供最大保形性。在一些實施例中,例如由於空間位阻,在一或多個循環中,沉積一不完整單層。在一些實施例中,一個以上的單層可藉由例如調節條件以實現一些分解反應,諸如將在CVD或CVD類製程中發生的分解反應來沉積。與自限性ALD反應混合之有限CVD反應可升高沉積速率。
可使用之合適反應器的實例包括市售ALD設備,諸如F-120® 反應器、Pulsar® 反應器及Advance® 400系列反應器,其自ASM America, Inc, Phoenix, Arizona及ASM Europe B.V., Almere, Netherlands購得。除了該等ALD反應器之外,可採用能夠ALD生長薄膜之許多其他類型之反應器,包括裝備有用於脈衝前驅物之適當設備及工具的CVD反應器。在一些實施例中,使用流動型ALD反應器。
在一些實施例中,該反應器為分批反應器(batch reactor)且具有大於50個基材,大於約100個基材或約125個以上的基材。在一些實施例中,該反應器為微型分批反應器且具有約2個至約20個基材、約3個至約15個基材或約4個至約10個基材。
本文所述之金屬硫族化物ALD製程可視情況在連接至組合設備工具之反應器或反應空間中進行。在組合設備工具中,因為各反應空間用於一種類型的製程,所以在各模塊中之反應空間的溫度可保持恆定,與其中在每次運行之前將基材加熱到製程溫度的反應器相比較,進而改進處理量。
獨立反應器可裝備有加載鎖(load-lock)。在彼種情形下,在每次運行之間不必冷卻反應空間。
較佳地,為了形成金屬硫族化物膜,各個ALD循環包括至少兩個不同的階段。提供反應物及自反應空間除去反應物可視為一個階段。對於金屬沉積循環,在第一金屬階段中,提供包含諸如鎂、鍶、鈹、鈣、鋇、鎳、鋅、鎘或銦之合適金屬的第一反應物並在基材表面上形成不超過大致一個單層。該反應物在本文中稱為「金屬前驅物」、「金屬反應物」或「金屬源化學物」,且可例如為上文列出之金屬之相應β-二酮前驅物及基於環戊二烯基之前驅物。在第二硫族元素階段中,提供包含硫族元素之第二反應物且可將吸附之金屬反應物轉化成金屬硫族化物。該反應物在本文中亦稱為「硫族元素前驅物」、「硫族元素反應物」或「硫族元素源化學物」,且可例如為硫族化銨,例如(NH4 )2 S、(NH4 )2 Se或(NH4 )2 Te、硫族化銨之水溶液或硫族元素化氫,例如H2 S、H2 Se或H2 Te。一或多種反應物可提供有載氣助劑,諸如N2 、Ar或He。可加入另外的階段且可根據需要移除階段以調節最終膜之組成。
術語「第一」及「第二」可視任何特定實施例之順序而應用到任何特定前驅物。例如,視實施例而定,第一反應物可為金屬前驅物或硫族元素前驅物。
圖1例示藉由包括多個脈衝循環100之ALD型製程形成金屬硫族化物薄膜之方法的實施例,至少一個循環包括:
在步驟120下使基材之表面與蒸發之第一金屬前驅物接觸以在該基材上至多形成該金屬前驅物之分子單層,
在步驟130下移除過量之金屬前驅物及反應副產物(若有的話)
在步驟140下使基材之表面與第二硫族元素前驅物接觸,
在步驟150下移除過量之第二硫族元素前驅物及在介於在基材上之金屬前驅物層與第二反應物之間的反應中形成的任何氣態副產物,及
在步驟160下視情況重複該接觸及移除步驟,直至已經形成所要厚度之金屬硫族化物薄膜。
移除過量之前驅物及反應副產物(若有的話)可包括藉助於使用吹掃氣體及/或施加真空至反應空間來吹掃反應室。在使用吹掃氣體之情況下,吹掃氣體可連續地流動或可僅在反應性氣體之流動停止之後且在下一反應性氣體開始流經反應空間之前流經反應空間。還可以使吹掃或非反應性氣體連續地流經反應室,以將該非反應性氣體用作各種反應性組分之載氣。因此,在一些實施例中,諸如氮氣之氣體連續地流經反應空間,而金屬及硫族元素前驅物根據需要脈衝至反應室中。因為載氣連續地流動,所以移除過量之反應物或反應副產物僅僅藉由停止反應性氣體向反應空間中之流動來實現。
根據一些實施例,金屬硫族化物薄膜藉由包括多個脈衝循環之ALD型製程形成,各循環包括: 使該基材與氣相第一金屬前驅物及第二氣相硫族元素前驅物交替地且依次地接觸,直至形成所要厚度之金屬硫族化物薄膜。
在一些實施例中,調節金屬前驅物脈衝與硫族元素前驅物脈衝之比率。因此,在一些實施例中,相對於在至少一個循環中硫族元素前驅物之脈衝,將金屬前驅物不止一次地脈衝到反應室中。並且在一些實施例中,相對於在至少一個循環中金屬前驅物之脈衝,將硫族元素前驅物不止一次地脈衝到反應室中。例如,若需要增加在膜中金屬之量,則至少一個ALD循環、每隔一個ALD循環或每第三、第四、第五、第六個循環等可包括一或多次另外的金屬前驅物脈衝。類似地,若需要增加在膜中硫族化物的量,則至少一個ALD循環、每隔一個ALD循環或每第三、第四、第五、第六個循環等可包括一或多次另外的硫族元素前驅物脈衝。
在一些實施例中,需要將至少兩種金屬合併到金屬硫族化物膜中。因此,在適當之ALD循環中,除了第一金屬之外或代替第一金屬,一或多個循環可包括第二、第三或第四金屬之脈衝。例如,在一些實施例中,該金屬硫族化物膜包含鋁及鎂。在一些實施例中,該金屬硫族化物膜包含矽及鎂。在一些實施例中,該金屬硫族化物膜包含鉿及鎂。例如,Al及Mg之脈衝可與硫族元素前驅物脈衝組合使用以形成AlMgS、AlMgSe或AlMgTe。類似地,Si及Mg脈衝或Si及Hf脈衝可與硫族元素前驅物脈衝組合使用以形成MgSiS、MgHfS、MgSiSe、MgHfSe、MgSiTe或MgHfTe。在不依靠任何特定事項之情況下,據信使用多於一種金屬可實現特定益處,諸如抑制在高溫下結晶、使吸濕特性最小化及/或增強介電常數。
在一些實施例中,形成基本上由金屬及硫族元素組成之金屬硫族化物。在一些實施例中,可使用另外反應物以將其他材料合併或提供到膜中,例如將氧合併或提供到膜中以形成金屬氧硫族化物。在除了硫族元素之外還需要另外非金屬元素的一些實施例中,用於形成金屬硫族化物薄膜之ALD製程可包括除了初始金屬及硫族元素階段之外的階段。例如,其可包括氧化階段,其中需要金屬氧硫族化物。在氧化階段中,氧或含氧的前驅物提供在反應室中並允許接觸基材表面。該氧階段可為一或多個沉積循環之部分。在一些實施例中,單獨的氮階段可包括在一或多個沉積循環中。在一些實施例中,第二金屬階段可提供於一或多個沉積循環中。氧化階段或其他合乎需要之階段可跟隨著金屬階段或硫族元素階段,但在其他情形下,在一些實施例中,需要在繼續下一階段之前從反應空間中移除過量的氧(或其他反應物)及任何反應副產物。在一些實施例中,可在最終沉積循環之後或在沉積製程中間歇地提供諸如氧、氮或另外金屬階段之另外階段。
根據一些實施例,除了硫族化物之外,本揭示內容之合乎需要之金屬硫族化物將包括一或多種金屬及至少一種元素(諸如氧或氮)。因此,三元及四元組合物將充當合適之金屬硫族化物。實例包括但不限於MgHfOS、MgHfSe、MgHfTe、MgSN、MgSeN、MgTeN、MgSO、MgSeO、MgTeO等。
再次參考圖1,其例示示範性金屬硫族化物沉積製程100,一些實施例可包括在步驟110下施加到基材表面之預處理製程。預處理可包括一或多個步驟。在預處理中,將在其上沉積金屬硫族化物之基材表面可暴露於一或多種預處理反應物及/或諸如溫度或壓力之特定條件。預處理可出於包括清潔基材表面、移除雜質、移除天然氧化物及提供合乎需要之表面終止的許多原因而使用。在一些實施例中,預處理包括將該基材表面暴露於諸如HCl、HBr、Cl2 、HF、硫族化銨或硫族化氫之一或多種預處理反應物。在一些實施例中,預處理製程在與隨後之沉積製程相同之溫度下進行。在一些實施例中,預處理製程包括合適化學物之一或多次脈衝,該等脈衝為約0.05秒至約600秒,較佳為約0.1秒至約60秒。在一些實施例中,在預處理製程期間之壓力維持在約0.01托與約100托之間,較佳為約0.1托至約10托。
在一些實施例中,諸如在使用III-V族材料之情況下,可將HCl用作預處理反應物。在一些實施例中,諸如在使用鍺基材之情況下,可將HF用作預處理反應物。在一些實施例中,依次地或同時地使用多種預處理反應物。在一些實施例中,預處理可包括一或多種預處理反應物之多次應用。
在一些實施例中,預處理可包括首先將基材表面暴露於HCl一段時間且隨後將基材表面暴露於硫族化氫一段時間。還可包括另外步驟。例如,在一些實施例中,可使用水以在相應HCl及硫族化氫暴露之間洗滌基材表面。因此,在一種可能之預處理中,可將合適之基材表面暴露於HCl歷時1秒至5分鐘之時間,用去離子(DI)H2 O洗滌兩次歷時約1秒至60秒之時間,並暴露於硫族化氫之兩次暴露歷時約1秒至約60秒之時間。先前製程可在諸如約100℃至約400℃之任何合適溫度下發生。
根據一些實施例,預處理可包括異位濕式清潔處理,接著一或多個原位製程。該原位製程可包括用不同預處理反應物的多個階段。例如,一個原位序列可包括交替暴露於HCl及例如H2 S、H2 Se或H2 Te之硫族化氫。當然,應認識到亦可使用其他組合或以類似或不同組合的其他預處理反應物。
在一些實施例中,將該基材表面用含硫族元素之化合物預處理。在一些實施例中,該含硫族元素之化合物可與在隨後之金屬硫族化物沉積製程中使用之硫族元素前驅物相同或不同。根據一些實施例,含硫族元素之預處理劑包含具有通式R-X-H之硫族醇(chalcogenol),其中R可為烷烴、烯烴或其他含碳之原子團,且X可為硫族元素。在一些實施例中,該含硫族元素之預處理反應物包含源自含硫族元素之物質之電漿或自由基。在一些實施例中,該預處理劑包含元素硫族元素。使用包含硫族元素之預處理反應物可提供在基材表面上之-XH終止,其中X為硫族元素。在此等情形下,隨後暴露於金屬前驅物將引起金屬-硫族元素鍵之即時形成及金屬硫族化物膜之開始。在一些實施例中,預處理異位或原位提供且其可作為液浴或藉由暴露於預處理反應物之氣相而提供。在一些實施例中,該預處理製程包括硫族元素鈍化製程。
在一些實施例中,可能需要除硫族元素-H(chalcogen-H)終止以外的表面終止。在所述情況下,可能需要使用含非硫族元素之預處理反應物。例如,在一些實施例中,該預處理反應物可在基材表面上提供N-H終止。在一些實施例中,該等預處理可包括NH3 退火、N2 電漿處理或暴露於N2 H4 ,儘管亦可使用其他方法及其他含氮化合物。與可使用含硫族元素之預處理反應物實現之結果類似,使用含氮反應物可在基材表面上實現N-H終止。
預處理製程可利用以蒸氣形式及/或以液態形式之預處理反應物。在一些實施例中,該預處理製程可在與隨後沉積製程相同的溫度及/或壓力下進行。在一些實施例中,該預處理製程可與隨後之沉積製程類似,不同之處在於該預處理製程將包括比在隨後沉積製程中所使用之脈衝時間或暴露時間久的脈衝時間或暴露時間。
在一些特殊實施例中,可將HCl用作預處理化學物且其可以液態形式使用,且該HCl可為稀釋的(例如,1 (37%):10)且可在1分鐘蝕刻中使用。在一些特殊實施例中,可將具有22%之濃度之液體硫族化銨用於5分鐘浸漬製程,以預處理基材表面。在一些實施例中,該預處理製程之持續時間可廣泛地變化,而不影響隨後沉積之膜的膜性質。
該預處理製程可在與隨後ALD製程相同之溫度及/或壓力下執行;然而,其亦可在不同之溫度及/或壓力下執行。在其中異位執行預處理之實施例中,可能不可能或不需要在與隨後ALD製程相同之溫度及/或壓力下執行該預處理。例如,在預處理包括將基材在水溶液中浸漬之情況下,可能需要允許預處理在比ALD製程高之壓力下進行,該ALD製程可在可能不需要蒸發預處理劑之相對較低壓力下執行。
再次參考圖1,在步驟120下使基材與第一金屬反應物或前驅物接觸。在一些實施例中,第一金屬前驅物以氣相脈衝導入反應室中並與基材之表面接觸。較佳地選擇條件以使得在基材表面上以自限性方式吸附不超過約一個前驅物單層。第一金屬前驅物脈衝較佳以氣態形式供應。若物質在處理條件下表現出足夠之蒸氣壓以將物質以足以使暴露表面飽和之濃度轉移到工件,對於本發明之描述,將金屬前驅物氣體視為「蒸發性的」。
在一些實施例中,使該金屬前驅物接觸該基材約0.01秒至約60秒、約0.02秒至約30秒、約0.025秒至約20秒、約0.05秒至約5.0秒、約0.05秒至約2.0秒或約0.1秒至約1.0秒。
在ALD型製程中採用之金屬前驅物在標準條件(室溫及大氣壓)下可為固態、液態或氣態材料,條件是該金屬前驅物在導入反應室中並與基材表面接觸之前在氣相中。
在步驟130下,過量之第一反應物及反應副產物(若有的話)例如藉由用諸如氮氣或氬氣之惰性氣體之脈衝吹掃而從基材表面移除。吹掃反應室意味著氣相前驅物及/或氣相副產物諸如藉由用真空泵抽空腔室及/或藉由用諸如氬氣或氮氣之惰性氣體替換在反應室中之氣體而從反應室中移除。典型吹掃時間為約0.05至20秒,更佳在約1秒與10秒之間且更佳在約1秒與2秒之間。然而,若需要,諸如在需要在極高縱橫比結構或具有複雜表面形態之其他結構之上沉積層時,則可利用其他吹掃時間。適當的吹掃時間可由熟習此項技術者基於特定情況容易地確定。
然而,在其他實施例中,移除過量之第一反應物及反應副產物(若有的話)可包括移動基材,因此第一反應物不再接觸基材。在一些實施例中,並不從腔室之其他部分移除反應物。在一些實施例中,該基材從腔室之含有第一金屬前驅物的一部分移動到該腔室之含有第二反應物或根本沒有反應物的另一部分。在一些實施例中,該基材從第一反應室移動到第二不同反應室。
在步驟140下,使基材與包含硫族元素之第二氣態反應物(亦稱作硫族元素反應物或硫族元素前驅物)接觸。在一些實施例中,將該硫族元素前驅物脈衝到該腔室中,在其中其與結合到表面之第一反應物反應。該反應在基材表面上形成多達一個金屬硫族化物單層。
在一些實施例中,該硫族元素前驅物包括硫族元素電漿或硫族元素自由基。在該等實施例中,該硫族元素可在反應室內或在反應室上游激發。在需要電漿之情況下,未激發之硫族元素前驅物的流可包含一種類型之吹掃氣體,因此在基材已經暴露於硫族元素電漿歷時所要時間之後,可將電漿發生器關閉且將硫族元素前驅物流本身用以清潔過量硫族元素電漿及未反應之副產物的反應室。
雖然熟習此項技術者應認識到可使用許多合適之硫族元素前驅物,但適當之硫族元素前驅物包括含硫族元素之化合物,其有利地與先前或隨後沉積之金屬前驅物的配位體反應。因此,適當硫族元素前驅物之選擇可視所使用之特殊金屬前驅物及在金屬前驅物中配位體之性質而定。
在一些實施例中,使該硫族元素前驅物接觸該基材約0.01秒至約60秒、約0.02秒至約30秒、約0.025秒至約20秒、約0.05秒至約5.0秒、約0.05秒至約2.0秒或約0.1秒至約1.0秒。然而,視反應器類型、基材類型及其表面積而定,硫族元素前驅物接觸時間可甚至高於10秒。在一些實施例中,接觸時間可處於分鐘的量級。最佳接觸時間可由熟習此項技術者基於特定情況容易地確定。
在反應室中硫族元素前驅物的濃度可為約0.01體積%至約99.0體積%。並且,該硫族元素前驅物可以在約1標準立方公分/分鐘與約4000標準立方公分/分鐘之間的速率流過反應室。
在一些實施例中,該金屬硫族化物材料之生長速率在約0.01 Å/循環與約2.0 Å/循環之間。在一些實施例中,該生長速率在約0.1 Å/循環與約1.0 Å/循環之間。在一些實施例中,該生長速率為約0.2 Å/循環。
在步驟150下,如上文對於步驟130所述,將過量之第二反應物及表面反應之氣態副產物(若有的話)自基材表面移除。在一些實施例中,過量之反應物及反應副產物較佳藉助於惰性氣體移除。
接觸及移除步驟可視情況在步驟160下重複,直至在基材上已經形成所要厚度之金屬硫族化物薄膜,其中各循環留下不超過一個分子單層。在一些情況下,可能需要實現各種前驅物中之至少一種的至少部分分解。
本揭示內容之金屬硫族化物ALD製程可包括一或多個循環。一些實施例包括至少約5個循環、至少約10個循環或至少約50個循環之重複。在一些實施例中,執行不超過100個循環以形成合乎需要之厚度的薄膜。
在不貢獻元素至生長膜中的一些實施例中,亦可供應另外的反應物。該等反應物可以其自己的脈衝或連同金屬及/或硫族元素前驅物脈衝一起提供。可使用另外之反應物,例如提供所要表面終止,或自反應物及/或游離副產物中之一或多種氣提或吸出配位體。
在一些實施例中,使用另外之反應物以將諸如氧氣或氮氣之另外物質貢獻至生長的薄膜。在一些實施例中,該另外反應物可在與另一前驅物相同之階段,諸如在金屬階段或硫族元素階段中提供。在一些實施例中,該一或多種另外的反應物構成其自己的一或多個階段且與金屬階段與硫族元素階段兩者單獨提供。不管是以另一階段還是單獨地提供,該一或多種另外反應物可在沉積製程中在各循環、一些循環或僅在一個循環中提供。
在一些實施例中,在金屬硫族化物膜中可需要一或多種另外的非金屬元素,諸如氮或氧。另外之階段可合併至一或多個沉積循環中,或在沉積金屬硫族化物膜之後提供,以合併該等材料。例如,在一些實施例中,一或多個循環可包括其中將基材暴露於氮反應物的氮階段。在一些實施例中,該氮階段將至少一些氮合併至金屬硫族化物薄膜中。在一些實施例中,該氮階段包括將基材表面或生長膜暴露於N2 電漿。在一些實施例中,該氮階段包括使基材表面或生長膜經受使用NH3 的退火製程。在一些實施例中,該氮階段包括使基材表面或生長膜經受N2 H4 。在一些實施例中,該氮階段包括將基材暴露於氮前驅物、氮自由基、原子氮、氮電漿或其組合。氮階段可藉由提供氮反應物之脈衝並吹掃或在沉積完整膜的一些或全部之後而包括在一或多個沉積循環中。在一些實施例中,在一或多個沉積循環中,該氮階段可跟隨著金屬階段或硫族元素階段。
在一些實施例中,一或多個循環可包括氧階段,其中將基材暴露於氧反應物。在一些實施例中,該氧階段將至少一些氧合併至金屬硫族化物薄膜中。在一些實施例中,該氧階段包括將基材表面或生長膜暴露於氧電漿。在一些實施例中,該氧階段包括使基材表面或生長膜在氧氣氛中經受退火製程。在一些實施例中,該氧階段包括將基材暴露於氧前驅物、氧自由基、原子氧、氧電漿或其組合。氧階段可藉由提供氧反應物之脈衝並吹掃或在沉積完整膜中之一些或全部之後包括在一或多個沉積循環中。在一些實施例中,在一或多個沉積循環中,該氧階段可跟隨著金屬階段或硫族元素階段。
本揭示內容之金屬硫族化物薄膜可包括許多金屬。因此,可選擇包含金屬硫族化物之所要金屬的合適金屬前驅物。在一些實施例中,形成包含Be、Mg、Ca、Ba、Sr、Y、Sc、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Al、Si、Ni、Zn、Cd、Pb、In、Ga、Ge、Gd、Ta、Mo或W之金屬硫族化物。
根據一些實施例,金屬硫族化物薄膜包括兩種或兩種以上金屬。在一些實施例中,將另外之沉積階段加到一或多個沉積循環中以合併該一或多種另外金屬。該一或多個另外金屬階段可跟隨著第一金屬階段或跟隨著硫族元素階段。在一些實施例中,可在沉積循環之同一金屬階段中同時提供兩種或兩種以上不同之金屬前驅物。在一些實施例中,包含不同金屬之金屬前驅物可在不同沉積循環中使用。例如,第一金屬前驅物可為在一或多個沉積循環中使用之唯一金屬前驅物且包含第二不同金屬之第二金屬前驅物可在一或多個其他沉積循環中使用。
根據一些實施例,藉由ALD型製程形成金屬硫族化物薄膜之方法包括多個脈衝循環,至少一個循環包括: 使基材之表面與例如Mg(Cp)2 之蒸發之鎂前驅物接觸,以在該基材上形成至多一鎂前驅物分子單層, 移除過量之鎂前驅物及反應副產物(若有的話), 使基材之表面與例如H2 S之第二硫前驅物接觸, 移除過量之第二硫前驅物及在基材上之鎂前驅物層與第二反應物之間的反應中形成的任何氣態副產物,及 視情況重複該接觸及移除步驟,直至已經形成所要厚度之例如硫化鎂之金屬硫族化物的薄膜。
根據一些實施例,金屬硫族化物薄膜藉由包括多個脈衝循環之ALD型製程形成,各循環包括: 使基材與例如Mg(Cp)2 之氣相第一鎂前驅物及例如H2 S之第二氣相硫前驅物交替地且依次地接觸,直至已經形成所要厚度之例如硫化鎂之金屬硫族化物的薄膜。
根據一些實施例,藉由ALD型製程形成金屬硫族化物薄膜之方法包括多個脈衝循環,至少一個循環包括: 使基材之表面與例如Sr(Cp)2 之蒸發之鍶前驅物接觸,以在該基材上形成至多一鍶前驅物分子單層, 移除過量之鍶前驅物及反應副產物(若有的話), 使基材之表面與例如H2 S之第二硫前驅物接觸, 移除過量之第二硫前驅物及在該基材上之鍶前驅物層與第二反應物之間的反應中形成的任何氣態副產物,及 視情況重複該接觸及除去步驟,直至已經形成所要厚度之例如硫化鍶之金屬硫族化物的薄膜。
根據一些實施例,金屬硫族化物薄膜藉由包括多個脈衝循環之ALD型製程形成,各循環包括: 使基材與例如Sr(Cp)2 之氣相第一鍶前驅物及例如H2 S之第二氣相硫前驅物交替地且依次地接觸,直至已經形成所要厚度之例如硫化鍶之金屬硫族化物的薄膜。 金屬前驅物
熟習此項技術者應當理解本揭示內容之金屬硫族化物薄膜之金屬可自許多選項中選擇。在一些實施例中,該金屬前驅物選自含有Be、Mg、Ca、Ba、Sr、Y、Sc、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Al、Si、Ni、Zn、Cd、Pb、In、Ga、Ge、Gd、Ta、Mo及W的化合物。在一些實施例中,該金屬前驅物包含一或多種配位體,諸如環戊二烯基(Cp)配位體。MgCp2 為合適金屬前驅物的一個實例。在一些實施例中,該金屬前驅物為金屬β-二酮。在一些實施例中,該金屬前驅物不為Ca、Ba或Sr之環戊二烯基化合物。
在一些實施例中,該金屬前驅物具有下式: ML2 Ax (I) 其中L可各自獨立地選擇為烴基,且M可為Mg、Ca、Ba或Sr,且A可為中性配位體或加合物,諸如乙二胺或EtOH,且x可為0至2。較佳地,L可為直鏈、支鏈、環狀烷基或不飽和烴基,諸如烯基、炔基、芳族、環戊二烯基、苯基、環辛二烯基或環庚二烯基。較佳地,M為Mg、Ba、Sr或Ca。較佳地,x為0。更佳地,L為環戊二烯基。在一些實施例中,該L可為二齒配位體,諸如β二酮、胍或脒(amidinate)。在一些實施例中,該β二酮配位體可為乙醯丙酮酸酯或2,2,6,6-四甲基-3,5-庚二酮酸(thd)。
在一些實施例中,該金屬前驅物為環戊二烯基化合物或其衍生物,諸如烷基取代之環戊二烯基化合物且具有下式: M(R1 R2 R3 R4 R5 Cp)2 (II) 其中R1 -R5 可各自獨立地選擇為氫或經取代或未經取代的烷基,且M可為Be、Mg、Ca、Ba或Sr。在較佳之實施例中,M為Mg且R1 -R5 可各自獨立地選擇為R1 -R5 可為氫或直鏈或支鏈的C1 -C5 烷基。在更佳之實施例中,M為Mg或Sr且R1 -R5 可各自獨立地選擇為氫或C1 -C3 烷基,諸如甲基、乙基、正丙基或異丙基。在較佳之實施例中,該前驅物為Mg(Cp)2 或Sr(Cp)2
在一些實施例中,該金屬前驅物包含一或多種配位體,諸如環戊二烯基(Cp)配位體。該等源化合物(source compound)可選自由以下化合物組成之群: (Cp)x M   (III); (Cp)x Ly M   (IV); (Cp)x Wn M   (V); (CP)x Ly Wn M   (VI); 其中M為Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb或Lu; Cp為環戊二烯基或環辛二烯基,因此在化學式I-IV中之Cp基團可彼此相同或與另一Cp基團不同;x表示Cp配位體之數目且其為1至高達M之氧化態的整數; Ly 為自其原子中之一或多個結合到稀土金屬的中性加合配位體(neutral adduct ligand)且其中y表示結合配位體之數目;且 W為相對於Cp具有-1之化合價的其他配位體且其中n表示配位體之數目。W較佳為β-二酮或其相應硫或氮化合物、鹵化物、醯胺、alkokside、羧酸鹽或希佛鹼(Schiff's base)。必須指出環辛二烯通常縮寫為Cod,但在此表達藉由對於環戊二烯基與環辛二烯基兩者使用單一常見縮寫Cp而簡化。
在化學方程式I-IV中,環戊二烯基及/或環辛二烯基可在同一分子中,因此在由可含有選自Si、N、P、Se、S或B之雜原子的經取代或未經取代的C1 -C6 鏈組成之兩個Cp-基團之間存在橋。
L較佳為 (i) 烴, (ii) 含氧之烴, (iii) 含氮之烴, (iv) 含硫之烴, (v) 含磷之烴, (vi) 含砷之烴, (vii) 含硒之烴及/或 (viii) 含碲之烴。
L更佳為 (a) 胺或聚胺, (b) 聯吡啶, (c) 根據以下化學方程式之配位體其中G為-O-、-S-或-NR1 ,其中R1 為氫或經取代或未經取代之環狀、直鏈或支鏈的烷基、烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫基、氰基或矽基。在R1 中之環狀或芳族環可含有雜原子。氫或R1 型取代基亦可連接至在化學方程式V中之碳原子,或 (d) 醚或硫醚。
在化學式I-IV中之環戊二烯基或環辛二烯基具有以下形式: Cp′Rm Ha-m (VII) 其中當a為8時,m為整數0-8,且當a為5時,m為整數0-5, Cp′為融合或隔離之環戊二烯基或環辛二烯基且 R為持續1-20個碳原子之烴片段,較佳為C1 -C6 烴。
R配位體可彼此相同或與另一R配位體不同。R可為經取代或未經取代之環狀、直鏈或支鏈的烷基、烯基、芳基、烷基芳基、芳基烷基、烷氧基、硫基、氨基、氰基或矽基。該取代基之環狀或芳族環可含有雜原子。該等取代基之實例為甲基、乙基、丙基及異丙基。
在化學方程式II及IV中示出之中性加合配位體可為醚、胺或諸如用一個原子與金屬形成鍵的諸如四氫呋喃的溶劑分子。用若干原子與金屬形成的鍵的合適中性加合配位體的實例為聚醚及聚胺。
在一些實施例中,該金屬前驅物不包含銫。然而,在其他實施例中,銫可構成該金屬前驅物之組分。在一些實施例中,該金屬前驅物不包含鍶及/或鋇。然而,在其他實施例中,鍶及/或鋇可構成該金屬前驅物之組分。在一些實施例中,該金屬前驅物不包含鈣。
在一些實施例中,選擇該金屬前驅物使得該金屬前驅物之金屬與可存在於下伏基材中之任何金屬都不同。例如,可選擇該金屬前驅物以提供與在下伏半導體中之金屬不同的金屬。因此,在一些實施例中,視所使用之半導體或下伏基材之類型而定,該金屬前驅物具體地不包含Ga、As、In、Sb等。然而,在一些實施例中,該金屬前驅物之金屬亦可在下伏半導體與覆蓋金屬層中之一者或兩者中見到。 硫族元素前驅物
熟習此項技術者應當理解的是可使用許多硫族元素前驅物。在一些實施例中,該硫族元素前驅物選自以下列表:H2 S、H2 Se、H2 Te、(NH4 )2 S、(NH4 )2 Se、(NH4 )2 Te、二甲亞碸、二甲基硒、二甲基碲、元素或原子S、Se、Te、含有硫族元素-氫鍵之其他前驅物,諸如H2 S2 、H2 Se2 、H2 Te2 或具有式R-硫族元素-H之硫族醇(chalcogenol),其中R可為經取代或未經取代之烴,較佳為C1 -C8 烷基,更佳為直鏈或支鏈的C1 -C5 烷基。合適硫族元素前驅物可包含許多含硫族元素之化合物,條件是其包含至少一個硫族元素-氫鍵。在一些實施例中,該硫族元素前驅物可包含硫族元素電漿或硫族元素自由基。在需要激發之硫族元素的一些實施例中,電漿可在反應室中或在反應室上游產生。
在較佳之實施例中,該硫族元素前驅物選自以下列表:H2 S、H2 Se及H2 Te。
在其中採用(NH4 )2 S、(NH4 )2 Se或(NH4 )2 Te的一些實施例中,硫族化銨可以水溶液提供。在該等實施例中,可能需要提供以較短脈衝之硫族元素前驅物,以降低來自溶液之水蒸氣可對基材或膜生長之影響。然而,在一些實施例中,該硫族元素前驅物本身可包含氧。
根據一些實施例,需要使用氧-硫族化物,屬類上描述為Ox -硫族元素y ,或氧-硫族氧化物(chalcogenate),屬類上描述為Ox (硫族元素-O4 )y 。在一些實施例中,諸如SO4 、SeO4 或TeO4 之硫族元素-Ox 的水溶液可作為硫族元素前驅物使用。 積體化
本揭示內容之金屬硫族化物薄膜可用於多種半導體應用中。例如,金屬硫族化物膜可特定地用作在用於電晶體的金屬-絕緣體-半導體(MIS)源極/汲極接觸結構中之絕緣體或介電層。用金屬硫族化物介電層形成之MIS接觸結構可引起肖特基障壁高度(SBH)降低及費米能級去釘紮。因此,根據一些實施例之MIS接觸結構可具有格外低之接觸電阻。
圖2為通常例示根據一些實施例用於形成源極/汲極接觸結構之製程200的流程圖。在一些實施例中,合適源極/汲極接觸結構之形成如下進行: 半導體基材在步驟210下提供; 基材視情況在步驟220下經受預處理製程; 合適金屬硫族化物介電層在步驟230下例如藉由ALD製程在基材之表面上形成; 金屬層在步驟240下在一或多個先前形成之層之上形成。
根據一些實施例,在步驟210下,提供包含半導體之基材。應瞭解該半導體可為半導體基材之部分。如本文所用,半導體基材可為至少部分地由半導體材料形成之基材。該半導體材料可為矽、鍺、矽鍺及III-V或II-VI半導體或諸如MoS及石墨烯之2D材料中之一或多種。例如,在一些實施例中,該半導體基材可為半導體晶圓,或者可為具有覆蓋導電及/或介電材料之半導體晶圓。在一些實施例中,該基材可為含有鍺層、矽鍺層及III-V半導體層中之一或多層的矽晶圓。因此,基材表面可包括矽、鍺、矽及鍺或III-V或II-VI半導體或諸如MoS或石墨烯之2D材料。該III-V半導體可含有Ga及As。
較佳地,該基材包含暴露之半導體表面。在一些實施例中,該基材包括形成用於電晶體之源極/汲極區的摻雜半導體區的表面。例如,該源極/汲極區可由n-摻雜之半導體形成。
圖2例示在步驟220下之視情況的預處理。預處理可出於包括清潔基材表面、移除雜質、移除天然氧化物及/或提供合乎需要之表面終止的許多原因而使用。在一些實施例中,預處理反應物包含任何合適之還原化學物。在一些實施例中,預處理包括將該基材表面暴露於預處理反應物,該預處理反應物可包含例如硫族化銨、硫族化氫、HCl或HF。適當之預處理反應物可藉由熟習此項技術者基於特定情況及所要作用而選擇。
在一些實施例中,諸如在基材包含III-V族材料之情況下,可將HCl用作預處理反應物。HCl浸泡可移除諸如烴、顆粒及金屬之表面污染物,而並未完全移除天然氧化物。HCl濃度可自濃約37重量%至稀1重量%變化,但不限於此。
在一些實施例中,諸如在使用鍺基材之情況下,可將HF用作預處理反應物。HF浸泡可移除諸如烴、顆粒及金屬之表面污染物,而並未完全移除天然氧化物。HCl濃度可自濃約50重量%至稀0.1重量%變化,但不限於此。
在一些實施例中,預處理製程將利用HCl與HF兩者。例如,可將基材表面首先暴露於HCl溶液且隨後暴露於HF溶液或反過來。在一些實施例中,該預處理製程包括硫族元素鈍化製程。在一些實施例中,將基材暴露於包含硫族元素之預處理反應物。使用包含硫之預處理反應物可在基材表面上提供-硫族元素-H(–chalcogen-H)終止(termination)。在該等情形下,在步驟230開始時隨後暴露諸如鎂前驅物之金屬前驅物將引起金屬-硫族元素鍵的即時形成並開始金屬硫族化物界面層。在一些實施例中,預處理製程可包括暴露於硫族化氫之基材表面。
在一些實施例中,可能需要除-硫族元素-H終止以外的表面終止。在該等情況下,可能需要使用諸如上述HF或HCl暴露之含非硫族元素之預處理反應物。根據一些實施例,可將HCl及/或HF原位或以成串構造施用。
視情況之預處理220可包括諸如藉由將基材浸沒在液浴中或藉由將基材暴露於氣相預處理反應物而暴露於液體反應物。在一些情況下,自氣相的原位HCl或HF預處理在沒有空氣阻斷或暴露於空氣的情況下進行。在一些情況下,自氣相的原位硫族化氫預處理在沒有空氣阻斷或暴露於空氣的情況下進行。
在一些實施例中,預處理可包括改變溫度及氣氛,諸如氫電漿處理、NF3 電漿處理或熱H2 烘烤。
在視情況之預處理步驟之後,若執行,則在步驟230下形成金屬硫族化物電子穿隧阻障層或介電層。在一些實施例中,金屬硫族化物阻障或介電層在步驟210中提供的基材上形成或沉積。較佳地,金屬硫族化物介電層在暴露的半導體表面上形成。在一些實施例中,金屬硫族化物介電層直接沉積在半導體表面之上。在一些實施例中,該半導體表面包括形成用於電晶體之源極/汲極區的摻雜半導體區的表面。例如,該源極/汲極區可由n-摻雜之半導體形成。
在一些實施例中,金屬硫族化物阻障層或介電層為包含合適金屬硫族化物的薄膜。合適金屬硫族化物包括其中金屬選自以下各物的那些:Be、Mg、Ca、Ba、Sr、Y、Sc、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Al、Si、Ni、Zn、Cd、Pb、In、Ga、Ge、Gd、Ta、Mo及W。在一些實施例中,合適金屬硫族化物包括其中金屬並不選自以下各物的那些:Al、Ga及In。在一些實施例中,需要金屬硫族化物膜之金屬與下伏基材表面及諸如隨後形成之導電層的覆蓋層中之一者或兩者的金屬不同。在一些實施例中,該金屬硫族化物阻障層或介電層沉積成為自基材的不同層,此意味著來自基材之材料並未對於金屬硫族化物阻障層或介電層消耗,不同之處在於一些鍵可在基材與金屬硫族化物阻障層或介電層之間形成。
在一些實施例中,該金屬硫族化物阻障層或介電層沉積達到特定厚度。合適厚度可大於或等於約0.1 nm且小於或等於約5 nm。在一些實施例中,該厚度將介於約0.1 nm與約3 nm之間。在一些實施例中,該厚度將介於約1 nm與約3 nm之間。在一些實施例中,該厚度在約2 nm與約3 nm之間。在一些實施例中,該合適之厚度將為在基材表面上獲得完整層之厚度(即,沒有留下間隙)。因此,獲得完整層之實際厚度可視所形成之金屬硫族化物的類型及用以獲得金屬硫族化物之前驅物的類型而定。
在一些實施例中,合適之金屬硫族化物材料包括以下各項中之一或多種:BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe及InTe。其他金屬硫族化物也是可能的。為簡單起見,已經指示該等金屬硫族化物具有該等通用之化學計量。但是應當理解的是任何指定金屬硫族化物之精確化學計量將基於金屬之氧化態而變。因此,明確地預期其他化學計量。
在一些實施例中,該沉積之金屬硫族化物阻障層或介電層包含至少約5at-%之硫族元素,較佳大於約15at-%之硫族元素且更佳大於約30at-%之硫族元素且最佳大於約40at-%之硫族元素。視金屬氧化態而定,該金屬硫族化物界面可包含約45at-%至約75at-%之硫族元素。
在一些實施例中,金屬硫族化物阻障層或介電層藉由如上所述之ALD製程形成。在一些實施例中,該金屬硫族化物阻障層或介電層藉由ALD型製程形成。在一些實施例中,該金屬硫族化物阻障層或介電層藉由化學氣相沉積(CVD)製程形成。亦可使用CVD類製程或ALD製程與CVD製程之組合。在一些實施例中,可使用其他製程,諸如物理氣相沉積(PVD)、電漿增強之原子層沉積(PEALD)。
在一些實施例中,該金屬硫族化物阻障層或介電層可在形成隨後層之前視情況經受後沉積處理製程。例如,只要獲得了所要厚度之金屬硫族化物阻障層或介電層,則可進行硫族元素鈍化製程(或其他合適之後沉積處理,諸如退火製程或形成氣體退火製程),此後,隨後之層可形成在所處理之阻障層或介電層之上。
繼續參考圖2,在步驟240下,金屬沉積在金屬硫族化物阻障層或介電層上。在一些實施例中,金屬層沉積在金屬硫族化物介電層上。在一些實施例中,金屬或金屬層直接沉積在金屬硫族化物介電層之上。金屬形成MIS型接觸結構之「M」部分,且可充當具有其他電子線路之電觸點的部分。在一些實施例中,該金屬可為鈦、鋁、鎳或其組合,包括鈦與鋁。在一些實施例中,該金屬可包含以下各項中之至少一種:Ti、Al、Zr、Hf、V、Ta、Nb、Cr、Mo、W、Co、TiN、TiC、TiAlC、TaC、TaAlC、NbAlC、TiAl、TaAl、TaN、TaCN、WN及TiWN。該等金屬可藉由各種方法沉積,該等方法包括例如氣相沉積製程,諸如使用鈦或鋁前驅物之自限性沉積的ALD,不管是否被電漿活化;或物理沉積製程,包括濺射及電子束蒸發。應瞭解其他材料可接著沉積。在一些實施例中,該金屬可為鈦,而氮化鈦(TiN)可接著沉積在金屬層上。例如,該MIS接觸結構可包括n-摻雜之鍺源極/汲極區(作為接觸結構之「S」部分);藉由例如MgS、SrS、BeS、CaS、Bas、NiS、ZnS、CdS或Is之金屬硫族化物形成的阻障層或介電層(作為接觸結構之「I」部分);由鈦形成之金屬層(作為接觸結構之「M」部分);及在該鈦之上之氮化鈦(TiN)層。
在一些實施例中,步驟210、220、230及240各自可在例如單基材處理腔室之同一處理腔室中執行。在一些其他的實施例中,步驟220及230可在例如在組合設備工具中之不同腔室的不同腔室中執行。有利地,步驟230之金屬硫族化物沉積可提供增加之處理寬容度,因為金屬硫族化物阻障層或介電層可使得基材表面對在處理腔室之外的外部周圍環境不太敏感,由此允許基材傳輸到另一處理腔室,而與外部周圍環境沒有顯著之不想要的反應。應瞭解在用於具體沉積之專用處理腔室之間的傳輸可促進高品質沉積結果。
圖3示出根據一些實施例在形成源極/汲極接觸結構之前電晶體結構的示意性橫截面。基材10包括半導體,其可在場絕緣區24之間形成有效區域22,在一些實施例中,此可藉由習知淺溝槽隔離(STI)技術形成。替代地,可使用任何合適方法來限定場隔離材料,包括矽的局部氧化(LOCOS)、絕緣材料的沉積及在LOCOS、STI或絕緣材料沉積方面的許多變量。單一有效區域22在圖3中例示。然而,應當理解多個有效區域通常藉由STI跨基材10同時限定,並且場絕緣製程常形成使多個電晶體有效區域22彼此分離的場隔離區的網。該基材較佳以適合在有效區域22中的通道形成的程度(level)本底(background)摻雜。
繼續參考圖3,電晶體閘電極16在有效區域22之上形成。閘電極16包括圍繞半導體電極17形成之一對間隔片15,其藉由頂蓋層19覆蓋。雖然例示為傳統半導體電極,其由絕緣間隔片15及頂蓋層19包圍且藉由閘極介電層18與下伏基材10分離,但是應當理解的是電晶體閘極堆疊可具有多種構造中之任一種。在一些處理流程中,例如,可省略間隔片15。在所例示之實施例中,源極/汲極區20在有效區域22內在電晶體閘電極16的任一側上形成。閘電極16還限定通道區域,其在有效區域22中,直接在閘電極16下且在源極/汲極區20之間。圖案化平面化及穿隧層42(例如由SiO2 形成)提供在閘電極16之上。層42包括開口50,其暴露源極/汲極區20。
圖4示出根據一些實施例在形成源極/汲極接觸結構之後圖3之電晶體結構的示意性截面圖。在一些實施例中,金屬硫族化物電子穿隧阻障層或介電層310如本文相對於圖2之步驟230所述在開口50之表面上形成。如本文相對於圖2之步驟140所述,開口50接著用金屬320填充。
應瞭解圖3及圖4例示之順序僅為使元件結構平面化之實例且涵蓋用於形成各種所例示之部件的其他順序。例如,藉由下伏半導體42、阻障層或介電層310及金屬320形成之源極/汲極接觸結構可在其他所例示之部件中之一或多個之前形成。另外,本文揭示之方法可應用到利用諸如非平面元件結構之源極/汲極接觸的其他電晶體類型,該等非平面元件結構包括FinFET及垂直奈米線/GAA元件結構。應瞭解該等其他電晶體類型可省略所例示之部件中之一或多種及/或包括額外之部件。 實例1
在該實例中,形成具有各種組成之絕緣體-半導體界面層的許多金屬-絕緣體-半導體(MIS)結構,且測量各結構之相應肖特基障壁高度(SBH)。
形成具有鋁金屬層、TiO2 介電或絕緣體層及n-型Ge半導體層之各MIS結構。不同組成之界面層沉積在n-型Ge層與TiO2 層之間。在兩種單獨之樣品中,在沒有硫族元素前驅物之情況下,Mg(Cp)2 及Sr(Cp)2 在ALD製程中脈衝穿過反應空間,其中脈衝時間分別為約30秒。在兩種其他的單獨樣品中,將H2 S選為硫族元素前驅物。在一種樣品中,Mg(Cp)2 及硫族元素前驅物在ALD製程中交替地且依次地脈衝穿過反應空間,其中金屬前驅物脈衝時間為約30秒且H2 S脈衝時間為約0.1秒至約10秒。在第二樣品中,Sr(Cp)2 及硫族元素前驅物在ALD製程中交替地且依次地脈衝穿過反應空間,其中金屬前驅物脈衝時間為約30秒且H2 S脈衝時間為約0.1秒至約10秒。分析所得MIS結構以確定各樣品之SBH。所得之相應結構複製在下表1中。 表1:各種界面層組合物之肖特基障壁高度之比較
一般而言,對於具有半導體表面之直接金屬觸點,例如在n-型Ge半導體表面上之Al金屬觸點,存在非常高之SBH。該高SBH引起在金屬層與半導體層之間的高接觸電阻。已經提出MIS結構降低SBH。佈置在金屬層與半導體層之間的薄絕緣體或電介質可消除金屬誘導之間隙狀態並使該結構之費米能級脫釘紮。例如,具有2.5 nm厚的TiO2 絕緣體層之Al/TiO2 /n-Ge MIS結構具有約0.18 eV之SBH,具有1.5 nm厚的TiO2 絕緣體層之Al/TiO2 /n-Ge MIS結構具有約0.24 eV之SBH。基於包括界面層之上述實例,確定使用在介電層與半導體層之間藉由包括H2 S階段及Mg(Cp)2 階段之ALD型製程沉積的界面層或藉由包括H2 S階段及Sr(Cp)2 階段之ALD型製程沉積的界面層進一步降低MIS結構之SBH。該較低SBH有益於進一步降低接觸電阻,且由此改進驅動電流。 實例2
在該實例中,形成具有各種組成之絕緣體-半導體界面層的許多金屬-絕緣體-半導體(MIS)結構,且測量各結構之相應肖特基障壁高度(SBH)。
形成具有鋁金屬層、TiO2 介電或絕緣體層及n-型Ge半導體層之各MIS結構。不同組成之界面層沉積在n-型Ge層與TiO2 層之間。在一個樣品中,將Mg(Cp)2 選為金屬前驅物且將H2 S選為硫族元素前驅物。在ALD製程中,將金屬前驅物脈衝穿過反應空間,脈衝時間為約3秒且吹掃時間為約3秒;且將硫族元素前驅物脈衝穿過反應空間,脈衝時間為約3秒且吹掃時間為約6秒,其在約250℃下進行。在另一樣品中,在ALD型製程中,在約250℃之溫度下,將Mg(Cp)2 脈衝穿過反應空間,其中脈衝時間為約3秒且吹掃時間為約3秒,而並未將硫族元素前驅物脈衝穿過反應空間,重複該製程5次循環。在另一樣品中,在ALD型製程中,在約250℃之溫度下,將Mg(Cp)2 脈衝穿過反應空間,其中脈衝時間為約3秒且吹掃時間為約3秒,而並未將硫族元素前驅物脈衝穿過反應空間,該製程進行20次循環。
分析所得MIS結構以確定各樣品之SBH。所得之相應結構複製在下表2中。 表2:各種界面層組合物之肖特基障壁高度之比較
基於該實驗之結果,確定藉由包括H2 S硫族元素前驅物及Mg(Cp)2 金屬前驅物之ALD型製程沉積之連續界面層顯著降低在MIS結構中之SBH。該結果指示藉由僅包括H2 S硫族元素前驅物及Mg(Cp)2 金屬前驅物之ALD型製程沉積之連續層可作為在MIS結構中之介電層或絕緣體層使用,而無需例如TiO2 之另一材料。
10‧‧‧基材
15‧‧‧間隔片
16‧‧‧閘電極
17‧‧‧半導體電極
18‧‧‧閘極介電層
19‧‧‧頂蓋層
20‧‧‧源極/汲極區
22‧‧‧有效區域
24‧‧‧場絕緣區
42‧‧‧穿隧層
50‧‧‧開口
100‧‧‧脈衝循環
110、120、130、140、150、160、210、220、230、240‧‧‧步驟
200‧‧‧製程
310‧‧‧介電層
320‧‧‧金屬
自實施方式及附圖將更透徹地理解本發明,其意欲說明本發明,而非限制本發明,且其中: 圖1為例示根據一些實施例用於形成金屬硫族化物薄膜之ALD製程的流程圖。 圖2為通常例示根據一些實施例用於形成源極/汲極接觸結構之製程的流程圖。 圖3例示根據一些實施例在形成源極/汲極接觸結構之前電晶體結構的示意性橫截面。 圖4例示根據一些實施例在形成源極/汲極接觸結構之後圖3之電晶體結構的示意性橫截面。
10‧‧‧基材
15‧‧‧間隔片
16‧‧‧閘電極
17‧‧‧半導體電極
18‧‧‧閘極介電層
19‧‧‧頂蓋層
20‧‧‧源極/汲極區
22‧‧‧有效區域
24‧‧‧場絕緣區
42‧‧‧穿隧層
50‧‧‧開口

Claims (23)

  1. 一種在基材表面上形成金屬-絕緣體-半導體(MIS)結構之方法,所述方法包括: 提供包含半導體表面之基材; 在所述半導體表面之上沉積金屬硫族化物薄膜;及 在所述金屬硫族化物薄膜之上沉積金屬層; 其中所述金屬硫族化物薄膜之金屬包含以下各項中之至少一種:Mg、Sr、Be、Ca、Ba、Ni、Zn、Cd及In;且 其中所述金屬硫族化物薄膜之硫族元素包含硫、硒及碲中之至少一種。
  2. 如申請專利範圍第1項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬硫族化物薄膜具有介於約0.1 nm與約5 nm之間的厚度。
  3. 如申請專利範圍第1項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬硫族化物薄膜之所述金屬包含以下各項中之至少一種:Be、Mg、Ca、Ba、Sr、Y、Sc、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Al、Si、Ni、Zn、Cd、Pb、In、Ga、Ge、Gd、Ta、Mo及W。
  4. 如申請專利範圍第1項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬硫族化物薄膜包含以下各項中之至少一種:BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe及InTe。
  5. 如申請專利範圍第1項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述半導體表面包含矽、矽鍺、第III-V族半導體、第II-VI族半導體、2D半導體或其組合。
  6. 如申請專利範圍第1項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬層包含以下各項中之至少一種:Ti、Al、Zr、Hf、V、Ta、Nb、Cr、Mo、W、Co、TiN、TiC、TiAlC、TaC、TaAlC、NbAlC、TiAl、TaAl、TaN、TaCN、WN及TiWN。
  7. 如申請專利範圍第1項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中沉積所述金屬硫族化物薄膜包括原子層沉積(ALD)製程,所述原子層沉積(ALD)製程包括使所述半導體表面與金屬前驅物及硫族元素前驅物交替地且依次地接觸。
  8. 一種在基材表面上形成金屬-絕緣體-半導體(MIS)結構之方法,所述方法包括: 藉由原子層沉積(ALD)製程在基材表面上形成金屬硫族化物薄膜,所述原子層沉積(ALD)製程包括使所述基材表面與金屬前驅物及硫族元素前驅物交替地且依次地接觸; 其中所述金屬前驅物包含以下金屬中之至少一種:Mg、Sr、Be、Ca、Ba、Ni、Zn、Cd及In; 其中所述硫族元素前驅物選自以下各項中之至少一種:元素S、元素Se、元素Te、S電漿、Se電漿、Te電漿、H2 S、H2 Se、H2 Te、(NH4 )2 S、(NH4 )2 Se及(NH4 )2 Te;及 在所述金屬硫族化物薄膜之上形成金屬層。
  9. 如申請專利範圍第8項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬前驅物包含環戊二烯基配位體。
  10. 如申請專利範圍第9項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬前驅物包含Mg(Cp)2 或Sr(Cp)2
  11. 如申請專利範圍第8項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,進一步包括在使用ALD製程在所述基材表面上形成所述金屬硫族化物薄膜之前使所述基材表面經受預處理製程,其中所述預處理製程包括將所述基材表面暴露於以下各項中之至少一種:HCl、HF、HBr、Cl2 、HF、H2 S、H2 Se、H2 Te、(NH4 )2 S、(NH4 )2 Se及(NH4 )2 Te。
  12. 如申請專利範圍第8項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬硫族化物薄膜具有介於約0.1 nm與約5 nm之間的厚度。
  13. 如申請專利範圍第8項所述之在基材表面上形成金屬-絕緣體-半導體結構之方法,其中所述金屬硫族化物薄膜包含以下各材料中之至少一種:BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe及InTe。
  14. 一種積體電路製造之方法,包括: 藉由使基材表面與金屬前驅物及硫族元素前驅物交替地且依次地接觸而在半導體基材之源極/汲極區之上形成金屬硫族化物介電層;及 在所述介電層之上形成金屬電極。
  15. 如申請專利範圍第14項所述之積體電路製造之方法,其中所述金屬硫族化物介電層包含以下材料中之至少一種:BeS、MgS、CaS、SrS、BaS、NiS、ZnS、CdS、InS、BeSe、MgSe、CaSe、SrSe、BaSe、NiSe、ZnSe、CdSe、InSe、BeTe、MgTe、CaTe、SrTe、BaTe、NiTe、ZnTe、CdTe及InTe。
  16. 如申請專利範圍第15項所述之積體電路製造之方法,其中所述金屬硫族化物介電層包含以下材料中之至少一種:MgS、SrS、MgSe、SrSe、MgTe及SrTe。
  17. 如申請專利範圍第14項所述之積體電路製造之方法,其中所述金屬電極包含以下各項中之至少一種:Ti、Al、Zr、Hf、V、Ta、Nb、Cr、Mo、W、Co、TiN、TiC、TiAlC、TaC、TaAlC、NbAlC、TiAl、TaAl、TaN、TaCN、WN及TiWN。
  18. 如申請專利範圍第17項所述之積體電路製造之方法,其中所述金屬電極包含鈦。
  19. 如申請專利範圍第14項所述之積體電路製造之方法,其中所述源極/汲極區包含矽、矽鍺、第III-V族半導體、第II-VI族半導體、2D半導體或其組合。
  20. 如申請專利範圍第14項所述之積體電路製造之方法,其中所述源極/汲極區包含鍺。
  21. 如申請專利範圍第14項所述之積體電路製造之方法,其中所述源極/汲極區包含n-摻雜之鍺,且所述金屬電極包含鈦。
  22. 如申請專利範圍第14項所述之積體電路製造之方法,其中所述金屬前驅物包含Mg(Cp)2 或Sr(Cp)2 ,且所述硫族元素前驅物包含H2 S、H2 Se或H2 Te。
  23. 如申請專利範圍第14項所述之積體電路製造之方法,其中所述金屬硫族化物介電層包含MgS或SrS。
TW105118684A 2015-06-16 2016-06-15 形成金屬-絕緣體-半導體結構之方法及積體電路製造方法 TWI658166B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/741,249 US9711396B2 (en) 2015-06-16 2015-06-16 Method for forming metal chalcogenide thin films on a semiconductor device
US14/741,249 2015-06-16

Publications (2)

Publication Number Publication Date
TW201700764A true TW201700764A (zh) 2017-01-01
TWI658166B TWI658166B (zh) 2019-05-01

Family

ID=57588387

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105118684A TWI658166B (zh) 2015-06-16 2016-06-15 形成金屬-絕緣體-半導體結構之方法及積體電路製造方法

Country Status (3)

Country Link
US (1) US9711396B2 (zh)
KR (1) KR102282375B1 (zh)
TW (1) TWI658166B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109652785A (zh) * 2017-10-10 2019-04-19 Asm Ip控股有限公司 通过循环沉积在衬底上沉积金属硫族化物的方法
CN114746573A (zh) * 2019-11-20 2022-07-12 默克专利有限公司 用于选择性形成含金属膜的化合物及方法
TWI797232B (zh) * 2018-01-16 2023-04-01 荷蘭商Asm 智慧財產控股公司 於反應腔室內藉由循環沉積製程於基板上沉積材料膜之方法及相關裝置結構

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101604864B1 (ko) 2008-04-25 2016-03-18 에이에스엠 인터내셔널 엔.브이. 텔루르와 셀렌 박막의 원자층 증착을 위한 전구체의 합성과 그 용도
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5731519B2 (ja) 2009-10-26 2015-06-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Va族元素を含む薄膜のaldのための前駆体の合成及び使用
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10490475B2 (en) 2015-06-03 2019-11-26 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation after oxide removal
US9741815B2 (en) 2015-06-16 2017-08-22 Asm Ip Holding B.V. Metal selenide and metal telluride thin films for semiconductor device applications
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20170073812A1 (en) * 2015-09-15 2017-03-16 Ultratech, Inc. Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102003352B1 (ko) 2017-03-15 2019-07-23 주식회사 엘지화학 신규한 화합물 반도체 및 그 활용
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190006586A1 (en) * 2017-06-29 2019-01-03 Asm Ip Holding B.V. Chalcogenide films for selector devices
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102506444B1 (ko) * 2017-11-29 2023-03-06 삼성전자주식회사 이차원 tmd 박막의 성장방법 및 이를 포함하는 소자의 제조방법
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
TWI751406B (zh) * 2018-03-06 2022-01-01 美商應用材料股份有限公司 形成金屬硫系化物柱體之方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11060186B2 (en) * 2018-04-13 2021-07-13 Massachusetts Institute Of Technology In situ generation of gaseous precursors for chemical vapor deposition of a chalcogenide
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
KR102664394B1 (ko) * 2018-06-01 2024-05-08 삼성전자주식회사 이차원 물질을 포함하는 초격자 구조 및 이를 구비한 소자
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11434583B1 (en) 2018-06-06 2022-09-06 United States Of America As Represented By The Secretary Of The Air Force Optimized Heteropitaxial growth of semiconductors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112639975A (zh) * 2018-09-06 2021-04-09 新加坡国立大学 金属硫属元素化物的连续薄膜
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220102495A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Transistors including two-dimensional materials
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024510675A (ja) * 2021-03-24 2024-03-08 ユージェヌス インコーポレイテッド カルコゲナイド材料の封止層
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4354198A (en) 1980-05-30 1982-10-12 International Business Machines Corporation Zinc-sulphide capping layer for gallium-arsenide device
FR2604826B1 (fr) 1986-10-06 1989-01-20 France Etat Procede de formation d'une couche isolante comportant du sulfure, derives sulfures obtenus et appareillage pour la mise en oeuvre du procede
US4751200A (en) 1987-03-04 1988-06-14 Bell Communications Research, Inc. Passivation of gallium arsenide surfaces with sodium sulfide
DE69024246T2 (de) 1989-03-31 1996-05-30 Toshiba Kawasaki Kk Verfahren zur Herstellung einer Dünnschichthalbleiterlegierung
JP2676967B2 (ja) 1990-03-28 1997-11-17 松下電器産業株式会社 ヘテロ接合素子及びその製造方法
US5393680A (en) 1990-08-01 1995-02-28 Sumitomo Electric Industries, Ltd. MIS electrode forming process
US5124278A (en) 1990-09-21 1992-06-23 Air Products And Chemicals, Inc. Amino replacements for arsine, antimony and phosphine
JP2616287B2 (ja) * 1991-07-08 1997-06-04 株式会社村田製作所 半導体装置
US5616947A (en) * 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
JP3126890B2 (ja) * 1994-02-01 2001-01-22 松下電器産業株式会社 Mis構造を有する半導体装置及びその製造方法
US5451542A (en) 1994-06-21 1995-09-19 Sandia Corporation Surface passivation process of compound semiconductor material using UV photosulfidation
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5760462A (en) 1995-01-06 1998-06-02 President And Fellows Of Harvard College Metal, passivating layer, semiconductor, field-effect transistor
US5646419A (en) 1995-04-07 1997-07-08 California Institute Of Technology n-type wide bandgap semiconductors grown on a p-type layer to form hole injection pn heterojunctions and methods of fabricating the same
US6420725B1 (en) 1995-06-07 2002-07-16 Micron Technology, Inc. Method and apparatus for forming an integrated circuit electrode having a reduced contact area
WO1997048499A1 (en) 1996-06-19 1997-12-24 The Board Of Trustees Of Southern Illinois University GaAs SUBSTRATE WITH A PASSIVATING EPITAXIAL GALLIUM SULFIDE FILM AND METHODS FOR FORMING SAME
US6337266B1 (en) 1996-07-22 2002-01-08 Micron Technology, Inc. Small electrode for chalcogenide memories
US5920105A (en) 1996-09-19 1999-07-06 Fujitsu Limited Compound semiconductor field effect transistor having an amorphous gas gate insulation layer
US6147395A (en) 1996-10-02 2000-11-14 Micron Technology, Inc. Method for fabricating a small area of contact between electrodes
US6015977A (en) 1997-01-28 2000-01-18 Micron Technology, Inc. Integrated circuit memory cell having a small active area and method of forming same
US6207976B1 (en) 1997-12-17 2001-03-27 Fujitsu Limited Semiconductor device with ohmic contacts on compound semiconductor and manufacture thereof
US6380097B1 (en) 1998-05-11 2002-04-30 The United States Of America As Represented By The Secretary Of The Air Force Method for obtaining a sulfur-passivated semiconductor surface
FI105313B (fi) * 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
US6112013A (en) 1998-08-26 2000-08-29 United Microelectronics Corp. Apparatus for detecting a crack in a heater of an acid and rinse bath and a method of crack detection of the same
US6445015B1 (en) 2000-05-04 2002-09-03 Osemi, Incorporated Metal sulfide semiconductor transistor devices
US6670651B1 (en) 2000-05-04 2003-12-30 Osemi, Inc. Metal sulfide-oxide semiconductor transistor devices
US6638820B2 (en) 2001-02-08 2003-10-28 Micron Technology, Inc. Method of forming chalcogenide comprising devices, method of precluding diffusion of a metal into adjacent chalcogenide material, and chalcogenide comprising devices
US6727192B2 (en) 2001-03-01 2004-04-27 Micron Technology, Inc. Methods of metal doping a chalcogenide material
US6734455B2 (en) 2001-03-15 2004-05-11 Micron Technology, Inc. Agglomeration elimination for metal sputter deposition of chalcogenides
US6784018B2 (en) 2001-08-29 2004-08-31 Micron Technology, Inc. Method of forming chalcogenide comprising devices and method of forming a programmable memory cell of memory circuitry
US6709958B2 (en) 2001-08-30 2004-03-23 Micron Technology, Inc. Integrated circuit device and fabrication using metal-doped chalcogenide materials
GB0126757D0 (en) 2001-11-07 2002-01-02 Univ Cambridge Tech Organic field effect transistors
US20030143782A1 (en) 2002-01-31 2003-07-31 Gilton Terry L. Methods of forming germanium selenide comprising devices and methods of forming silver selenide comprising structures
US6831019B1 (en) 2002-08-29 2004-12-14 Micron Technology, Inc. Plasma etching methods and methods of forming memory devices comprising a chalcogenide comprising layer received operably proximate conductive electrodes
US6791125B2 (en) * 2002-09-30 2004-09-14 Freescale Semiconductor, Inc. Semiconductor device structures which utilize metal sulfides
KR100494843B1 (ko) 2002-11-01 2005-06-14 한국전자통신연구원 란탄족 이온이 도핑된 2족 금속황화물 형광층 제조 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6875661B2 (en) 2003-07-10 2005-04-05 International Business Machines Corporation Solution deposition of chalcogenide films
CN100490205C (zh) * 2003-07-10 2009-05-20 国际商业机器公司 淀积金属硫族化物膜的方法和制备场效应晶体管的方法
US20050257824A1 (en) 2004-05-24 2005-11-24 Maltby Michael G Photovoltaic cell including capping layer
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7619248B1 (en) 2005-03-18 2009-11-17 Kovio, Inc. MOS transistor with self-aligned source and drain, and method for making the same
EP1938359A2 (en) 2005-09-23 2008-07-02 Nxp B.V. Memory device with improved performance and method of manufacturing such a memory device
US7341960B2 (en) 2005-11-10 2008-03-11 National Sun Yat-Sen University Method for making a metal oxide semiconductor device
US20070111429A1 (en) 2005-11-14 2007-05-17 Macronix International Co., Ltd. Method of manufacturing a pipe shaped phase change memory
US7394088B2 (en) 2005-11-15 2008-07-01 Macronix International Co., Ltd. Thermally contained/insulated phase change memory device and method (combined)
US7524727B2 (en) * 2005-12-30 2009-04-28 Intel Corporation Gate electrode having a capping layer
TWI299527B (en) * 2006-04-11 2008-08-01 Taiwan Tft Lcd Ass A fabrication method of thin film for active layer by metal chalcogenide precursor solution
US8796125B2 (en) 2006-06-12 2014-08-05 Kovio, Inc. Printed, self-aligned, top gate thin film transistor
JP2008004736A (ja) 2006-06-22 2008-01-10 Toshiba Corp 半導体パッケージ
US8319204B2 (en) 2006-07-21 2012-11-27 Renesas Electronics Corporation Semiconductor device
JP2008098638A (ja) 2006-10-09 2008-04-24 Korea Electronics Telecommun カルコゲナイド層を持つ薄膜トランジスタ及びその製造方法
JP5329038B2 (ja) * 2006-12-21 2013-10-30 宇部日東化成株式会社 半導体装置及び半導体装置の製造方法
US20080272355A1 (en) 2007-05-04 2008-11-06 Samsung Electronics Co., Ltd. Phase change memory device and method for forming the same
WO2009020888A1 (en) * 2007-08-08 2009-02-12 Advanced Technology Materials, Inc. Strontium and barium precursors for use in chemical vapor deposition, atomic layer deposition and rapid vapor deposition
US7972898B2 (en) 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8039926B2 (en) 2007-12-06 2011-10-18 Electronics And Telecommunications Research Institute Method for manufacturing N-type and P-type chalcogenide material, doped homojunction chalcogenide thin film transistor and method of fabricating the same
DE102008011185A1 (de) 2008-02-27 2009-09-03 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer dotierten organischen halbleitenden Schicht
US20100159135A1 (en) 2008-12-19 2010-06-24 The Board Of Trustees Of The Leland Stanford Junior University Process for in situ generation of hydrogen sulfide or hydrogen selenide gas using a solid precursor
US7964490B2 (en) 2008-12-31 2011-06-21 Intel Corporation Methods of forming nickel sulfide film on a semiconductor device
KR101535653B1 (ko) 2009-02-09 2015-07-10 삼성전자주식회사 상변화 메모리 소자의 제조방법
US9040113B2 (en) 2009-05-26 2015-05-26 Uchicago Argonne, Llc Atomic layer deposition of metal sulfide thin films using non-halogenated precursors
US8294202B2 (en) 2009-07-08 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8344418B2 (en) 2009-12-23 2013-01-01 Intel Corporation Materials for interfacing high-K dielectric layers with III-V semiconductors
FR2981199B1 (fr) 2011-10-10 2014-06-27 Altis Semiconductor Snc Dispositif microelectronique a memoire programmable comportant une couche de chalcogenure dope resistante a des temperatures elevees
WO2013063399A1 (en) 2011-10-28 2013-05-02 Georgetown University Method and system for generating a photo-response from mos2 schottky junctions
US9147582B2 (en) 2011-12-19 2015-09-29 First Solar, Inc. Manufacturing methods for semiconductor devices
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US9478419B2 (en) 2013-12-18 2016-10-25 Asm Ip Holding B.V. Sulfur-containing thin films
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9276134B2 (en) * 2014-01-10 2016-03-01 Micron Technology, Inc. Field effect transistor constructions and memory arrays
US20150340228A1 (en) 2014-05-14 2015-11-26 Tokyo Electron Limited Germanium-containing semiconductor device and method of forming
US9461134B1 (en) * 2015-05-20 2016-10-04 Asm Ip Holding B.V. Method for forming source/drain contact structure with chalcogen passivation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109652785A (zh) * 2017-10-10 2019-04-19 Asm Ip控股有限公司 通过循环沉积在衬底上沉积金属硫族化物的方法
TWI754775B (zh) * 2017-10-10 2022-02-11 荷蘭商Asm智慧財產控股公司 利用循環沉積在基材上沉積金屬硫屬化物之方法
TWI797232B (zh) * 2018-01-16 2023-04-01 荷蘭商Asm 智慧財產控股公司 於反應腔室內藉由循環沉積製程於基板上沉積材料膜之方法及相關裝置結構
CN114746573A (zh) * 2019-11-20 2022-07-12 默克专利有限公司 用于选择性形成含金属膜的化合物及方法
CN114746573B (zh) * 2019-11-20 2024-05-10 默克专利有限公司 用于选择性形成含金属膜的化合物及方法

Also Published As

Publication number Publication date
US20160372365A1 (en) 2016-12-22
KR102282375B1 (ko) 2021-07-28
TWI658166B (zh) 2019-05-01
US9711396B2 (en) 2017-07-18
KR20160148483A (ko) 2016-12-26

Similar Documents

Publication Publication Date Title
TWI658166B (zh) 形成金屬-絕緣體-半導體結構之方法及積體電路製造方法
US10854444B2 (en) Sulfur-containing thin films
TWI657160B (zh) 在基板表面上形成三維架構之方法
TWI754775B (zh) 利用循環沉積在基材上沉積金屬硫屬化物之方法
US10566185B2 (en) Selective deposition of aluminum and nitrogen containing material
TWI662605B (zh) 在基材表面上形成結構或閘極堆疊之方法
US11667595B2 (en) Synthesis and use of precursors for vapor deposition of tungsten containing thin films
KR20210024421A (ko) 주기적 증착 공정에 의해 기판 표면 상에 몰리브덴 질화물 막을 증착하는 방법 및 이와 관련된 몰리브덴 질화물 막을 포함한 반도체 소자 구조