CN114746573B - 用于选择性形成含金属膜的化合物及方法 - Google Patents

用于选择性形成含金属膜的化合物及方法 Download PDF

Info

Publication number
CN114746573B
CN114746573B CN202080078782.4A CN202080078782A CN114746573B CN 114746573 B CN114746573 B CN 114746573B CN 202080078782 A CN202080078782 A CN 202080078782A CN 114746573 B CN114746573 B CN 114746573B
Authority
CN
China
Prior art keywords
metal
substrate
alkyl
forming
alkoxy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202080078782.4A
Other languages
English (en)
Other versions
CN114746573A (zh
Inventor
查里斯·纳纳亚克拉
乔比·艾尔多
雅各布·伍德拉夫
查尔斯·德泽拉
洪圣恩
拉文德拉·坎乔利亚
丹尼尔·莫塞尔
马克·波田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Merck Patent GmbH
Original Assignee
Merck Patent GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Merck Patent GmbH filed Critical Merck Patent GmbH
Publication of CN114746573A publication Critical patent/CN114746573A/zh
Application granted granted Critical
Publication of CN114746573B publication Critical patent/CN114746573B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C333/00Derivatives of thiocarbamic acids, i.e. compounds containing any of the groups, the nitrogen atom not being part of nitro or nitroso groups
    • C07C333/14Dithiocarbamic acids; Derivatives thereof
    • C07C333/16Salts of dithiocarbamic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C211/00Compounds containing amino groups bound to a carbon skeleton
    • C07C211/62Quaternary ammonium compounds
    • C07C211/63Quaternary ammonium compounds having quaternised nitrogen atoms bound to acyclic carbon atoms

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

提供了用于选择性形成含金属膜的化合物。还提供了形成含金属膜的方法。这些方法包括例如在第一基材表面上通过第一沉积工艺形成阻挡层及例如在第二基材表面上通过第二沉积工艺形成该含金属膜。

Description

用于选择性形成含金属膜的化合物及方法
技术领域
本发明技术总体上涉及化合物及沉积方法、特别地涉及用于在基材表面上选择性含金属膜生长的化合物及方法。
背景技术
薄膜并且特别是含金属的薄膜具有多种重要的应用,比如在纳米技术和半导体设备的制造中。此类应用的实例包括高折射率光学涂层,防腐蚀涂层,光催化自清洁玻璃涂层,生物相容性涂层,介质电容器层,以及场效应晶体管(FET)、电容器电极、栅电极、粘合剂扩散屏障和集成电路中的栅介电绝缘膜。金属薄膜和介电薄膜也用于微电子学应用,比如用于动态随机存取存储器(DRAM)应用的高κ介电氧化物和用于红外检测器和非易失性铁电随机存取存储器(NV-FeRAM)中的铁电钙钛矿。
可使用各种前体来形成含金属的薄膜,并且可使用多种沉积技术。此类技术包括反应溅射、离子辅助沉积、溶胶-凝胶沉积、化学气相沉积(CVD)(也称为金属有机CVD或MOCVD)和原子层沉积(ALD)(也称为原子层外延)。CVD和ALD方法越来越多地被使用,因为它们具有增强的组成控制、高的膜均匀性和有效的掺杂控制的优点。
CVD为化学方法,其中使用前体以在基材表面上形成薄膜。在典型的CVD方法中,使前体在低压或环境压力反应室中通过基材(例如晶片)表面。前体在基材表面上反应和/或分解,从而产生沉积材料的薄膜。通过使气流通过反应室来去除挥发性副产物。可能难以控制沉积膜厚度,因为其取决于许多参数(比如温度、压力、气流体积和均匀性、化学消耗效应、和时间)的协调。
ALD还是用于薄膜沉积的方法。它是基于表面反应的自限制性的、顺序的、独特的膜生长技术,其可提供精确厚度控制并将由前体提供的材料的共形薄膜沉积到不同组成的表面基材上。在ALD中,在反应期间分离前体。使第一前体通过基材表面,从而在基材表面上产生单层。从反应室泵送出任何过量的未反应前体。然后使第二前体通过基材表面且与第一前体反应,从而在基材表面上的第一形成膜单层上形成第二膜单层。重复此循环以产生期望厚度的膜。
然而,随着微电子部件(如半导体装置)的尺寸的持续减小,仍存在若干技术挑战,由此增加了对经改良的薄膜技术的需要。特别地,微电子部件可包括图案化,例如以形成导电路径或以形成互连。典型地,图案化经由蚀刻及光刻技术实现,但随着对图案化复杂性的需求增加,此类技术可具有挑战性。因此,对可在一个或多个基材上选择性地生长膜并在基材上实现经改良的图案化的化合物及薄膜沉积方法的开发存在显著关注。
发明内容
根据一个方面,提供了一种用于选择性形成含金属膜的化合物。该化合物在结构上对应于式I:
其中R1和R2各自独立地可以是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。X1可以是O或S并且X2可选自由以下组成的组:R3、NR4R5、-SR6和-OR7,其中R3、R4、R5、R6、和R7各自独立地可以是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
根据另一方面,提供了一种形成含金属膜的方法。该方法包括在第一基材表面上通过第一沉积工艺形成阻挡层及在第二基材表面上通过第二沉积工艺形成含金属膜。第一沉积工艺包括气化如本文所述的结构上对应于式(I)的化合物。第二沉积工艺包括气化至少一种金属络合物。第一基材表面可包括金属材料并且第二基材表面可包括介电材料或金属氧化物。
根据另一方面,提供了形成含金属膜的另一种方法。该方法包括在基材的第一部分上通过第一沉积工艺形成阻挡层及在该基材的第二部分上通过第二沉积工艺形成含金属膜。第一沉积工艺包括将该基材暴露于如本文所述的结构上对应于式(I)的化合物。第二沉积工艺包括将该基材暴露于至少一种金属络合物。基材的第一部分可包括金属材料并且基材的第二部分可包括介电材料或金属氧化物。
根据另一方面,提供了形成含金属膜的另一种方法。该方法包括在第一基材表面上通过第一沉积工艺形成阻挡层。第一沉积工艺包括气化结构上对应于式II的化合物:
其中X3可选自由以下组成的组:R8、NR9R10、-SR11、和-OR12。R8、R9、R10、R11和R12各自独立地可以是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代,并且X4可以是O或S。该方法进一步包括在第二基材表面上通过第二沉积工艺形成含金属膜。第二沉积工艺包括气化至少一种金属络合物。第一基材表面可包括金属材料并且第二基材表面可包括介电材料或金属氧化物。
根据另一方面,提供了形成含金属膜的另一种方法。该方法包括在基材的第一部分上通过第一沉积工艺形成阻挡层。第一沉积工艺包括将该基材暴露于结构上对应于式II的化合物:
其中X3可选自由以下组成的组:R8、NR9R10、-SR11、和-OR12。R8、R9、R10、R11和R12各自独立地可以是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代,并且X4可以是O或S。该方法进一步包括在基材的第二部分上通过第二沉积工艺形成含金属膜。第二沉积工艺包括将该基材暴露于至少一种金属络合物。基材的第一部分可包括金属材料并且基材的第二部分可包括介电材料或金属氧化物。
从下面的具体实施方式中,包括上面概述的实施方案的特定方面的其他实施方案将是显而易见的。
附图说明
图1A图解说明根据本披露的某些方面的阻挡层及含金属膜的细节。
图1B图解说明根据本披露的某些替代方面的阻挡层及含金属膜的细节。
图2图解说明暴露于N-十二烷基-N-甲基二硫代氨基甲酸之后SiO2、W、Co及Cu基材之X-射线光电子光谱法(XPS)分析。
图3A图解说明暴露于N-十二烷基-N-甲基二硫代氨基甲酸及(MeCp)2Hf(OMe)(Me)之后SiO2、W、Co及Cu基材上存在的Hf的原子百分比。
图3B图解说明暴露于(MeCp)2Hf(OMe)(Me)而未暴露于N-十二烷基-N-甲基二硫代氨基甲酸之后SiO2、W、Co及Cu基材上存在的Hf的原子百分比。
图4A-4D分别提供暴露于N-十二烷基-N-甲基二硫代氨基甲酸及(MeCp)2Hf(OMe)(Me)之后SiO2、W、Co及Cu基材的扫描电子显微镜法(SEM)图像。
图5A是热重分析(TGA)数据的图形表示,其展示N-十二烷基-N-甲基二硫代氨基甲酸N-十二烷基-N-甲基铵盐(化合物I)的%重量损失对比温度。
图5B是化合物I的差示扫描量热法(DSC)分析的图形表示。
图6A图解说明化合物I的1H核磁共振(1H NMR)光谱。
图6B图解说明化合物I的13C NMR光谱。
图7图解说明化合物I的红外(IR)光谱。
图8图解说明化合物I的单晶X-射线结构。
具体实施方式
在描述本技术的若干示例性实施方案之前,应当理解,本技术不限于在以下描述中阐述的构造或方法步骤的细节。本技术能够具有其他实施方案并且能够以不同方式来实践或实施。还应当理解,金属络合物和其他化合物在本文中可以使用具有特定立体化学的结构式来说明。这些说明仅旨在作为实例,并且不应解释为将所披露的结构限制为任何特定的立体化学。相反,示出的结构旨在涵盖所有此类具有所示化学式的金属络合物和化合物。
申请人已经发现了可选择性地形成含金属膜的化合物及进行沉积的方法。特别地,本文所述的化合物及方法可在第一基材表面或表面的第一部分上通过第一沉积工艺形成阻挡层及在第二基材表面或表面的第二部分上通过第二沉积工艺形成含金属膜。已发现,阻挡层可沉积在含金属基材上,并且此阻挡层可基本上阻挡或抑制含金属膜在阻挡层上的生长,同时允许含金属膜在含介电材料的基材和/或含金属氧化物的基材上的沉积。有利地,本文所述的方法可允许选择性介电质上介电质沉积。另外,本文所述的方法可允许经由气相方法递送阻挡层,该气相方法可使用与递送金属络合物所用相同的设备。而且,阻挡层的递送可在较低源温度(例如,小于150℃)下完成。
I.定义
出于本发明和其权利要求的目的,周期表族的编号方案是根据IUPAC元素周期表。
如在短语比如“A和/或B”中使用的术语“和/或”在本文旨在包括“A和B”、“A或B”、“A”和“B”。
术语“取代基”、“基团(radical)”、“基团(group)”和“部分”可以可互换使用。
如本文所用,术语“含金属配合物”(或更简单地,“配合物”)和“前体”可互换使用,并且是指可以用于例如通过气相沉积方法(比如ALD或CVD)制备含金属膜的含金属分子或化合物。含金属配合物可以沉积在、吸附至、分解在、输送至和/或通过基材或其表面,以形成含金属膜。
如本文所用,术语“含金属膜”不仅包括如下文更全面定义的元素金属膜,而且包括包含金属连同一种或多种元素的膜,例如金属氧化物膜、金属氮化物膜、金属硅化物膜、金属碳化物膜等。如本文所用,术语“元素金属膜”和“纯金属膜”可互换使用,并且是指由纯金属组成或基本上由纯金属组成的膜。例如,元素金属膜可以包括100%纯金属,或者元素金属膜可以包括至少约70%、至少约80%、至少约90%、至少约95%、至少约96%、至少约97%、至少约98%、至少约99%、至少约99.9%或至少约99.99%纯金属连同一种或多种杂质。除非上下文另有规定,否则术语“金属膜”应被解释为意指元素金属膜。
如本文所用,术语“气相沉积方法”用于指任何类型的气相沉积技术,包括但不限于CVD和ALD。在各实施方案中,CVD可采取常规(即,连续流)CVD、液体注射CVD或光辅助CVD的形式。CVD也可以采取脉冲技术即脉冲CVD的形式。ALD通过使本文披露的至少一种金属络合物在基材表面上蒸发和/或通过基材表面而用于形成含金属膜。对于常规ALD方法,参见例如George S.M.等人,J.Phys.Chem.[物理化学杂志],1996,100,13121-13131。在其他实施方案中,ALD可采取常规(即,脉冲注射)ALD、液体注射ALD、光辅助ALD、等离子体辅助ALD或等离子体增强ALD的形式。术语“气相沉积方法”进一步包括Chemical VapourDeposition:Precursors,Processes,and Applications[化学气相沉积:前体、方法和应用];Jones,A.C.;Hitchman,M.L.编辑.The Royal Society of Chemistry[皇家化学学会]:剑桥,2009;第1章,第1-36页中描述的各种气相沉积技术。
如本文所用,术语“选择性生长”、“选择性地生长(selectively gr own和selectively grows)”可同义地使用并且是指膜在第二基材表面的至少一部分(或基材的第二部分)上生长并且膜基本上不在第一基材表面(或基材的第一部分)、阻挡层或其组合上生长。术语“选择性生长”、“选择性地生长”还涵盖与膜在第一基材表面(或基材的第一部分)上、在阻挡层或其组合上的生长相比,膜在第二基材表面的至少一部分(或基材的第二部分)上的生长较多。关于多于一种基材,术语“选择性生长”、“选择性地生长”还涵盖膜在第一基材上的生长,并且在第二基材(或第三基材、或第四基材、或第五基材等)上基本上没有膜生长,以及在第一基材上比在第二基材(或第三基材、或第四基材、或第五基材等)上的更多的膜生长。
术语“烷基”(单独或与另外一种或多种术语组合)是指长度为1至约25个碳原子的饱和烃链,比如但不限于甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、癸基等。烷基可以是直链或支链的。“烷基”旨在包括烷基的所有结构异构形式。例如,如本文所用,丙基涵盖正丙基和异丙基两者;丁基涵盖正丁基、仲丁基、异丁基和叔丁基;戊基涵盖正戊基、叔戊基、新戊基、异戊基、仲戊基和3-戊基。进一步地,如本文所用,“Me”是指甲基,“Et”是指乙基,“Pr”是指丙基,“i-Pr”是指异丙基,“Bu”是指丁基,“t-Bu”是指叔丁基,并且“Np”是指新戊基。在一些实施方案中,烷基是C1-C5-烷基或C1-C4-烷基。
术语“烷氧基”是指含有从1至约8个碳原子的-O-烷基。烷氧基可以是直链或支链的。非限制性实例包括甲氧基、乙氧基、丙氧基、丁氧基、异丁氧基、叔丁氧基、戊氧基和己氧基。
术语“氨基”在本文中是指任选地取代的单价氮原子(即,-NRcRd,其中Rc和Rd可相同或不同)。例如,Rc和Rd各自独立地可以是C1-C10-烷基。本发明涵盖的氨基的实例包括但不限于以及/>
II.用于形成阻挡层的化合物
根据各个方面,提供了一种结构上对应于式I的化合物:
其中R1和R2各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;X1是O或S;并且X2选自由以下组成的组:R3、NR4R5、-SR6、和-OR7;其中R3、R4、R5、R6和R7各自独立地可以是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,X2可以是R3,其中R3可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,X2可以是NR4R5,其中R4和R5各自独立地可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,X2可以是-SR6,其中R6可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,X2可以是-OR7,其中R7可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在如上所述的任一实施方案中,R1、R2、R3、R4、R5、R6和R7各自独立地可以是C1-C20-烷基、C1-C15-烷基、C1-C12-烷基、C1-C10-烷基、C1-C8-烷基、C1-C4-烷基或C1-C2-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。在一些实施方案中,R1、R2、R3、R4、R5、R6和R7中的一个或多个(例如2个、3个、4个、5个、6个或所有)可以是甲基。另外或可替代地,R1、R2、R3、R4、R5、R6和R7中的一个或多个(例如2个、3个、4个、5个、6个或所有)可以是十二烷基。烷基可以是直链或支链的。特别地,烷基是直链的。
在一些实施方案中,X1可以是S且X2可以是NR4R5,其中R4可以是C1-C15-烷基或C1-C12-烷基且R5可以是C1-C4-烷基或C1-C2-烷基,其中R4和R5各自可任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。另外或可替代地,R1可以是C1-C15-烷基或C1-C12-烷基且R2可以是C1-C4-烷基或C1-C2-烷基,其中R1和R2各自可任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在任一实施方案中,结构上对应于式(I)的化合物可描述为加成物络合物或盐络合物。
在一些实施方案中,结构上对应于式(I)的化合物是N-十二烷基-N-甲基二硫代氨基甲酸N-十二烷基-N-甲基铵盐(化合物I)。
III.形成含金属膜的方法
本文提供了形成含金属膜的方法,例如其中选择性生长含金属膜的方法。在各个方面中,如图1A中图解说明,该方法可包括在第一基材表面15上通过第一沉积工艺形成阻挡层20。该方法可进一步包括在第二基材表面17上通过第二沉积工艺形成含金属膜23。如图1A中所示,第一基材表面15及第二基材表面17可存在于单一基材19(即,同一基材)上。例如,当使用单一基材19时,第一基材表面15可视为基材19的第一部分15且第二基材表面17可视为基材19的第二部分17。可替代地,如图1B中图解说明,第一基材表面15及第二基材表面17可存在于不同基材(例如,分别为第一基材25及第二基材30)上。
第一基材表面15(或第一部分15)可包含金属材料。合适的金属材料的实例包括但不限于钨(W)、钴(Co)、铜(Cu)及其组合。在一些实施方案中,金属材料可包含Co、Cu或其组合。在特定实施方案中,金属材料可包含Cu。第二基材表面17(或第二部分17)可包含介电材料、金属氧化物材料或其组合。介电材料可以是低κ介电质或高κ介电质。合适的介电材料的实例包括但不限于SiO2、SiN及其组合。合适的金属氧化物材料的实例包括但不限于HfO2、ZrO2、SiO2、Al2O3及其组合。
在任一实施方案中,第一沉积工艺可包括将基材(例如第一基材表面15、第二基材表面17、基材19、第一基材25、第二基材30)暴露于如本文所述的结构上对应于式I的化合物和/或式II的化合物:
其中X3可选自由以下组成的组:R8、NR9R10、-SR11、和-OR12;其中R8、R9、R10、R11和R12各自独立地可以是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且X4可以是O或S。
在一些实施方案中,X3可以是R8,其中R8可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,X3可以是NR9R10,其中R9和R10各自独立地可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,X3可以是-SR11,其中R11可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,X3可以是-OR12,其中R12可以是C1-C20-烷基,任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在如上所述的任一实施方案中,R8、R9、R10、R11和R12各自独立地可以是C1-C20-烷基、C1-C15-烷基、C1-C10-烷基、C1-C8-烷基、C1-C4-烷基、或C1-C2-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。在一些实施方案中,R8、R9、R10、R11和R12中的一个或多个(例如2个、3个、4个或所有)可以是甲基。烷基可以是直链或支链的。特别地,烷基是直链的。
在一些实施方案中,X3可以是NR9R10且X4可以是S,其中R9可以是C1-C4-烷基或C1-C2-烷基且R10可以是C1-C15-烷基或C1-C12-烷基,其中R9和R10可任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
在一些实施方案中,结构上对应于式(II)的化合物是N-十二烷基-N-甲基二硫代氨基甲酸(化合物II)。
在任一实施方案中,结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或二者可在较低温度下递送或暴露至基材(例如第一基材表面15、第二基材表面17、基材19、第一基材25、第二基材30)。例如,这样的温度可以是小于或等于约175℃、小于或等于约150℃、小于或等于约140℃、小于或等于约130℃、小于或等于约120℃、小于或等于约110℃或约100℃;从约100℃至约175℃、约100℃至约150℃或约100℃至约130℃。
在任一实施方案中,第二沉积工艺可包括将基材(例如第一基材表面15、第二基材表面17、基材19、第一基材25、第二基材30)暴露于至少一种金属络合物。
金属络合物可包括合适的金属中心以及或多个合适的配体。合适的金属中心的实例包括但不限于钛(Ti)、锆(Zr)及铪(Hf)。合适的配体的实例包括但不限于C1-C10-烷基、C1-C10-烷氧基、任选地被一个或多个C1-C10-烷基取代的环戊二烯基(Cp)、及其组合。例如,每个配体可独立地是甲基、乙基、丙基、丁基、甲氧基、乙氧基、丙氧基、丁氧基、Cp基团、甲基取代的Cp(MeCp)基团、乙基取代的Cp(EtCp)基团及其组合。
在一些实施方案中,金属络合物在结构上可对应于式III:
其中M可以是Ti、Zr或Hf,特别是Hf;并且L1、L2、L3和L4各自独立地可选自由以下组成的组:C1-C8-烷基、C1-C8-烷氧基及任选地被至少一个C1-C8-烷基取代的Cp基团。在一些实施方案中,L1、L2、L3和L4可全部相同。
在一些实施方案中,M可以是Hf并且L1、L2、L3和L4各自独立地可选自由以下组成的组:C1-C4-烷基、C1-C4-烷氧基及任选地被至少一个C1-C4-烷基取代的Cp基团。
在一些实施方案中,M可以是Hf并且L1、L2、L3和L4各自独立地可选自由以下组成的组:C1-C2-烷基、C1-C2-烷氧基及任选地被至少一个C1-C2-烷基取代的Cp基团。
在一些实施方案中,金属络合物可以是(MeCp)2Hf(OMe)(Me)。
有利地,含金属膜之金属可以基本上少量存在于阻挡层上或基本上不存在于阻挡层上。例如,含金属膜的金属在阻挡层上的存在量可以小于或等于约25at.%、小于或等于约20at.%、小于或等于约15at.%、小于或等于约10at.%、小于或等于约5at.%、小于或等于约1at.%、小于或等于约0.5at.%或约0at.%;或从约0at.%至约25at.%、约0.5at.%至约25at.%、约0.5at.%至约20at.%、约0.5at.%至约15at.%、约0.5at.%至约10at.%或约1at.%至约5at.%。
另外或可替代地,阻挡层可以少量存在或基本上不存在于第二基材表面(或基材的第二部分,具有100:1选择性)上。
在任一实施方案中,基材可通过任何合适的沉积技术暴露于结构上对应于式(I)的化合物、结构上对应于式(II)的化合物、如本文所述的金属络合物或其组合。例如,第一沉积工艺可包括气化结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或二者。可替代地,基材可浸入或浸没于包含结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或二者的溶液中。另外或可替代地,第二沉积工艺可包括气化至少一种如本文所述的金属络合物。
例如,这可包括:(1)气化结构上对应于式(I)的化合物、气化结构上对应于式(II)的化合物、气化至少一种金属络合物(例如结构上对应于式(III))或其组合;以及(2)递送结构上对应于式(I)的化合物、递送结构上对应于式(II)的化合物、递送至少一种金属络合物(例如,结构上对应于式(III))或其组合至基材表面(例如第一基材表面15、第二基材表面17、基材19、第一基材25、第二基材30),或使结构上对应于式(I)的化合物、使结构上对应于式(II)的化合物、使至少一种金属络合物(例如结构上对应于式(III))或其组合经过基材(和/或在基材表面上分解结构上对应于式I的化合物、分解结构上对应于式(II)的化合物、和/或分解至少一种金属络合物(例如结构上对应于式(III))或其组合)。
在任一实施方案中,第一沉积工艺及第二沉积工艺独立地可以是化学气相沉积(CVD)或原子层沉积(ALD)。
ALD和CVD方法涵盖各种类型的ALD和CVD工艺,比如但不限于连续或脉冲注射工艺、液体注射工艺、光辅助工艺、等离子体辅助和等离子体增强工艺。为清晰起见,本发明技术的方法尤其包括直接液体注射工艺。例如,在直接液体注射CVD(“DLI-CVD”)中,可将结构上对应于式(I)的固体或液体化合物、结构上对应于式(II)的固体或液体化合物、固体或液体金属络合物(例如结构上对应于式(III))或其组合溶于合适的溶剂中并将由此形成的溶液注射入气化室,作为用于气化结构上对应于式(I)的化合物、结构上对应于式(II)的化合物、金属络合物(例如结构上对应于式(III))或其组合的手段。然后将经气化的结构上对应于式(I)的化合物、经气化的结构上对应于式(II)的化合物、经气化的金属络合物(例如结构上对应于式(III))或其组合输送/递送至基材表面。一般而言,DLI-CVD在其中金属络合物展现出相对低的挥发性或以其他方式难以气化的那些情形中可能特别有用。
在一个实施方案中,常规或脉冲CVD用于通过使至少一种金属络合物(例如结构上对应于式(III))气化和/或经过基材表面形成含金属膜。另外或可替代地,常规或脉冲CVD用于通过使结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合气化和/或经过基材表面来递送结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合。对于常规CVD工艺,参见例如Smith,Donald(1995).Thin-Film Deposition:Principles and Practice.[薄膜沉积:原理和实践]McGraw-Hill[麦格劳希尔集团]。
在一个实施方案中,结构上对应于式(I)的化合物、结构上对应于式(II)的化合物、金属络合物(例如结构上对应于式(III))或其组合的CVD生长条件包括但不限于:
a)基材温度:50℃-600℃
b)蒸发器温度(金属前体温度):0℃-200℃
c)反应器压力:0-100托
d)氩气或氮气载气流速:0-500sccm
e)氧气流速:0-500sccm
f)氢气流速:0-500sccm
g)运行时间:将根据期望膜厚度变化
在另一个实施方案中,光辅助CVD用于通过使至少一种本文披露的金属络合物(例如结构上对应于式(III))气化和/或经过基材表面来形成含金属膜。另外或可替代地,光辅助CVD用于通过使结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合气化和/或经过基材表面来递送结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合。
在另一个实施方案中,常规(即,脉冲注射)ALD用于通过使至少一种本文披露的金属络合物(例如结构上对应于式(III))气化和/或经过基材表面来形成含金属膜。另外或可替代地,常规(即,脉冲注射)ALD可以用于通过使结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合气化和/或经过基材表面来递送结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合。对于常规ALD方法,参见例如George S.M.等人,J.Phys.Chem.[物理化学杂志],1996,100,13121-13131。
在另一个实施方案中,液体注射ALD用于通过使至少一种本文披露的金属络合物(例如,结构上对应于式(III))气化和/或经过基材表面来形成含金属膜,其中与通过起泡器抽吸蒸气相反,至少一种金属络合物通过直接液体注射递送至反应室。另外或可替代地,液体注射ALD用于通过使结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合气化和/或经过基材表面来递送结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合,其中与通过起泡器抽吸蒸气相反,结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合通过直接液体注射递送至反应室。对于液体注射ALD工艺,参见例如Potter R.J.等人,Chem.Vap.Deposition[化学气相沉积],2005,11(3),159-169。
结构上对应于式(I)的化合物、结构上对应于式(II)的化合物、金属络合物(例如结构上对应于式(III))或其组合的ALD生长条件的实例包括但不限于:
a)基材温度:0℃-400℃
b)蒸发器温度(金属前体温度):0℃-200℃
c)反应器压力:0-100托
d)氩气或氮气载气流速:0-500sccm
e)反应性气体流速:0-500sccm
f)脉冲顺序(金属络合物/吹扫/反应性气体/吹扫):将根据优化工艺条件及室尺寸变化
g)循环数:将根据期望膜厚度变化
在另一个实施方案中,光辅助ALD用于通过使至少一种本文披露的金属络合物(例如结构上对应于式(III))气化和/或经过基材表面来形成含金属膜。另外或可替代地,光辅助ALD用于通过使结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合气化和/或经过基材表面来递送结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合。对于光辅助ALD工艺,参见例如美国专利号4,581,249。
在另一个实施方案中,等离子体辅助或等离子体增强ALD用于通过使至少一种本文披露的金属络合物(例如结构上对应于式(III))气化和/或经过基材表面来形成含金属膜。另外或可替代地,等离子体辅助或等离子体增强ALD用于通过使结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合气化和/或经过基材表面来递送结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合。
在另一个实施方案中,在基材表面上形成含金属膜的方法包括:在ALD工艺期间,将基材暴露于根据本文所述实施方案中的一个或多个的气相金属络合物(例如结构上对应于式(III)),使得在表面上形成包含通过金属中心(例如铪)结合至表面的金属络合物的层;在ALD工艺期间,将具有结合的金属络合物的基材暴露于共反应物,使得在所结合的金属络合物与共反应物之间发生交换反应,由此使所结合的金属络合物解离并在基材的表面上产生元素金属的第一层;以及按顺序重复ALD工艺和处理。
选择反应时间、温度和压力以产生金属-表面相互作用并在基材表面上获得层。将基于金属络合物的特性来选择ALD反应的反应条件。沉积可以在大气压下实施,但更通常在减压下实施。金属络合物的蒸气压应该足够低以在此类应用中实践。基材温度应该足够低以保持表面处金属原子之间的键完整并且防止气态反应物的热分解。然而,基材温度还应足够高以保持源材料(即,反应物)处于气相且为表面反应提供足够的活化能。合适的温度取决于各种参数,包括所用的特定金属络合物和压力。用于本文披露的ALD沉积方法的特定金属络合物的特性可以使用本领域已知的方法进行评价,允许选择用于反应的合适温度和压力。一般而言,较低的分子量和增加配体球的转动熵的官能团的存在导致在典型的递送温度和增加的蒸气压下产生液体的熔点。
用于沉积方法中的金属络合物将具有对足够的蒸气压、在选定的基材温度下足够的热稳定性和在基材表面上产生反应而在薄膜中没有不想要的杂质的足够的反应性的所有要求。足够的蒸气压确保源化合物分子以足够的浓度存在于基材表面处,以使完全的自饱和反应成为可能。足够的热稳定性确保源化合物将不会经受在薄膜中产生杂质的热分解。
因此,在这些方法中使用的本文披露的金属络合物可以是液体、固体或气态的。典型地,金属络合物在环境温度和足以允许蒸气一致地传输至处理室的蒸气压下为液体或固体。
在某些实施方案中,含金属络合物(例如结构上对应于式(III))、结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合可溶解于合适的溶剂(如烃或胺溶剂)中以促进气相沉积工艺。适当的烃溶剂包括但不限于脂族烃,比如己烷、庚烷和壬烷;芳族烃,比如甲苯和二甲苯;和脂族醚和环状醚,比如二甘醇二甲醚、三甘醇二甲醚和四甘醇二甲醚。适当的胺溶剂的实例包括但不限于辛胺和N,N-二甲基十二烷基胺。例如,可以将含金属配合物溶解在甲苯中,以得到浓度为从约0.05M至约1M的溶液。
在另一个实施方案中,至少一种金属络合物(例如结构上对应于式(III))、结构上对应于式(I)的化合物、结构上对应于式(II)的化合物或其组合可“纯”(未经载气稀释)递送至基材表面。
在另一个实施方案中,混合金属膜可通过本文所述的方法形成,该方法至少气化如本文披露的第一金属络合物(例如结构上对应于式(III))(结合,但未必同时气化,包含除本文披露的第一金属络合物的金属以外的金属的第二金属络合物(和/或第三金属络合物和/或第四金属络合物等))。例如,第一金属络合物可包含Hf且第二含金属络合物可包含Zr以形成混合金属Hf-Zr膜。在一些实施方案中,混合金属膜可以是混合金属氧化物、混合金属氮化物或混合金属氧氮化物。
在一个实施方案中,元素金属、金属氮化物、金属氧化物或金属硅化物膜可通过将如本文披露的至少一种金属络合物(例如结构上对应于式(III))独立地或与共反应物组合递送用于沉积来形成。就这一点而言,共反应物可独立地或与至少一种金属络合物组合沉积或递送至基材表面或经过基材表面。如将易于理解,所用特定共反应物将决定所获得的含金属膜的类型。此类共反应物的实例包括但不限于氢气、氢等离子体、氧气、空气、水、醇、H2O2、N2O、氨、肼、硼烷、硅烷、臭氧或其任何两种或更多种的组合。合适的醇的实例包括但不限于甲醇、乙醇、丙醇、异丙醇、叔丁醇等。合适的硼烷的实例包括但不限于比如甲硼烷、乙硼烷、三硼烷等氢化(即还原的)硼烷。合适的硅烷的实例包括但不限于比如甲硅烷、乙硅烷、丙硅烷等氢化硅烷。合适的肼的实例包括但不限于肼(N2H4),比如甲基肼、叔丁基肼、N,N-或N,N’-二甲基肼等任选被一个或多个烷基取代的肼(即烷基取代的肼),比如苯肼等任选被一个或多个芳基取代的肼(即芳基取代的肼)等。
在一个实施方案中,将本文披露的金属络合物以脉冲形式与含氧共反应物的脉冲交替递送至基材表面,以提供金属氧化物膜。此类含氧共反应物的实例包括但不限于H2O、H2O2、O2、臭氧、空气、i-PrOH、t-BuOH或N2O。
在其他实施方案中,共反应物包含还原剂,如氢。在此类实施方案中,获得元素金属膜。在特定实施方案中,元素金属膜由纯金属组成或基本上由纯金属组成。此种纯金属膜可含有超过约80%、85%、90%、95%或98%的金属。在甚至更特定实施方案中,元素金属膜是铪膜。
在其他实施方案中,共反应物用于通过将至少一种如本文披露的金属络合物(例如结构上对应于式(III))独立地或与共反应物(如但不限于氨、肼和/或其他含氮化合物(例如胺))组合递送至反应室用于沉积来形成金属氮化物膜。可使用多种此类共反应物。在另外的实施方案中,金属氮化物膜是氮化铪膜。
在特定实施方案中,本技术的方法用于在比如硅芯片等基材上的比如用于存储器和逻辑应用的动态随机存取存储器(DRAM)和互补金属氧化物半导体(CMOS)等应用。
本文披露的任何金属络合物可用于制备元素金属、金属氧化物、金属氮化物和/或金属硅化物的薄膜。此类膜可作为氧化催化剂、阳极材料(例如SOFC或LIB阳极)、导电层、传感器、扩散屏障/涂层、超导和非超导材料/涂层、摩擦涂层和/或保护性涂层得到应用。本领域普通技术人员应理解,膜特性(例如,导电性)将取决于比如用于沉积的一种或多种金属、共反应物和/或共配合物的存在或不存在、所产生的膜的厚度、生长和后续加工期间所采用的参数和基材等许多因素。
在整个本说明书中对“一个实施方案”、“某些实施方案”、“一个或多个实施方案”、或“实施方案”的提及意指结合实施方案描述的特定特征、结构、材料或特性被包括在本技术的至少一个实施方案中。因此,在整个本说明书的不同地方出现比如“在一个或多个实施方案中”、“在某些实施方案中”、“在一个实施方案中”或“在实施方案中”等短语不一定是指本技术的同一个实施方案。此外,特定特征、结构、材料或特性可以以任何合适的方式组合在一个或多个实施方案中。
尽管本文已参考特定实施方案描述了本技术,但应理解,这些实施方案仅说明本技术的原理和应用。对于本领域技术人员来说将显而易见的是,在不背离本技术的精神和范围的情况下,可以对本技术的方法和装置进行各种修改和变化。因此,本技术旨在包括在所附权利要求及其等效物的范围内的修改和变化。因此,通过参考以下实施例,将更容易理解一般性描述的本技术,所述实施例以说明的方式提供,并且不旨在限制。
实施例
实施例1:通过将各种基材暴露于N-十二烷基-N-甲基二硫代氨基甲酸形成阻挡层
阻挡层是通过气相递送方法使用N-十二烷基-N-甲基二硫代氨基甲酸在交叉流原子层沉积(ALD)反应器中制备。将N-十二烷基-N-甲基二硫代氨基甲酸保持在130℃的安瓿中。将与N-十二烷基-N-甲基二硫代氨基甲酸安瓿连接的保持在150℃的不锈钢圆筒连接至递送歧管。首先,将圆筒填充N-十二烷基-N-甲基二硫代氨基甲酸蒸气并且然后在130℃下将N-十二烷基-N-甲基二硫代氨基甲酸蒸气脉冲输送至ALD室(含以下基材:W、Co、Cu及对照Si上SiO2)持续60秒并捕获持续1小时。对基材进行X-射线光电子光谱法(XPS)分析并且使用XPS的S2p区域证实N-十二烷基-N-甲基二硫代氨基甲酸作为阻挡层接枝于基材上,如图2中所示。
实施例2:含铪膜的阻挡层抑制
测试通过将W、Co、Cu及对照Si上SiO2基材暴露于N-十二烷基-N-甲基二硫代氨基甲酸所形成的阻挡层抑制含Hf膜生长的能力。如以上在实施例1中所述将W、Co、Cu及对照Si上SiO2基材暴露于N-十二烷基-N-甲基二硫代氨基甲酸,随后是在350℃下的(MeCp)2Hf(OMe)(Me)及H2O的200个循环。金属基材在暴露于N-十二烷基-N-甲基二硫代氨基甲酸之前用2%柠檬酸预清洁1分钟。SiO2样品未预清洁。为进行对照,W、Co、Cu及对照Si上SiO2基材仅暴露于(MeCp)2Hf(OMe)(Me)。用于沉积的条件是如下:2秒脉冲Hf(来自(MeCp)2Hf(OMe)(Me))、10秒脉冲N2吹扫、2秒脉冲H2O及10秒脉冲N2吹扫。在暴露于N-十二烷基-N-甲基二硫代氨基甲酸及(MeCp)2Hf(OMe)(Me)之后,通过XPS量测SiO2、W、Co及Cu基材上的Hf的原子百分比,如图3A中所示。还通过XPS量测未暴露于N-十二烷基-N-甲基二硫代氨基甲酸但暴露于(MeCp)2Hf(OMe)(Me)的对照SiO2、W、Co及Cu基材上的Hf的原子百分比,如图3B中所示。SiO2、W、Co及Cu基材分别在暴露于N-十二烷基-N-甲基二硫代氨基甲酸及(MeCp)2Hf(OMe)(Me)之后的扫描电子显微镜法(SEM)图像分别示于图4A-4D中。
实施例3:N-十二烷基-N-甲基二硫代氨基甲酸N-十二烷基-N-甲基铵盐(化合物I) 的合成
在0℃下向N-十二烷基-N’-甲基胺(25mmol)在甲醇(50mL)中的溶液中添加二硫化碳(188mmol)。然后将反应混合物在0℃下搅拌2小时并且然后在室温(15℃至25℃)下过夜(8至12小时)。在氮气下去除溶剂以获得灰白色固体物质(产率87%)。将粗产物(灰白色固体物质)通过从甲醇结晶纯化,并通过1H核磁共振(1H NMR)、13C NMR、热重分析(TGA)、液相色谱法-质谱法(LCMS)、元素分析、单晶X-射线及滴定分析进行表征。上述表征方法证实化合物I作为络合物/盐形式存在,如下文所示:
对化合物I进行TGA(样品为4.47m g且加热速率为10℃/min)且结果提供于图5A中。TGA显示在61℃熔化且在180℃有0.2%残留且T1/2=约171℃。对化合物I进行差示扫描量热法(DSC)且结果提供于图5B中。
对化合物I进行1H NMR且结果提供于图6A中。对化合物I进行13C NMR且结果提供于图6B中。1H NMR和13C NMR显示无游离胺起始材料。
测定化合物I的元素分析且观察到以下结果:碳,68.3%;氮,5.8%;硫,13.4%。
对化合物I进行滴定分析且结果示于下表1中。
表1
对化合物I进行红外(IR)光谱法且结果示于图7中。对化合物I进行单晶X-射线分析且结果示于图8中。
本说明书中提及的所有出版物、专利申请、已授权专利和其他文献均通过援引并入本文,如同每个单独的出版物、专利申请、已授权专利或其他文献均被具体和单独地指示通过援引以其全文并入。在通过援引并入的文本中含有的定义在它们与本披露中的定义相矛盾的情况下被排除。
词语“包含(comprise、comprises和comprising)”应解释为包括性的而非排他性的。

Claims (35)

1.一种形成含金属膜的方法,该方法包括:
在第一基材表面上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括气化结构上对应于式I的化合物:
其中,
R1和R2各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;
X1是O或S;并且
X2选自由以下组成的组:R3、NR4R5、-SR6、和-OR7;其中R3、R4、R5、R6和R7各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且其中R1和R4中的至少一个是十二烷基;以及
在第二基材表面上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括气化至少一种金属络合物;
其中该第一基材表面包含金属材料且该第二基材表面包含介电材料。
2.一种形成含金属膜的方法,该方法包括:
在第一基材表面上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括气化结构上对应于式I的化合物:
其中,
R1和R2各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;
X1是O或S;并且
X2选自由以下组成的组:R3、NR4R5、-SR6、和-OR7;其中R3、R4、R5、R6和R7各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且其中R1和R4中的至少一个是十二烷基;以及
在第二基材表面上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括气化至少一种金属络合物;
其中该第一基材表面包含金属材料且该第二基材表面包含金属氧化物。
3.一种形成含金属膜的方法,该方法包括:
在基材的第一部分上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括将该基材暴露于结构上对应于式I的化合物:
其中,
R1和R2各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;
X1是O或S;并且
X2选自由以下组成的组:R3、NR4R5、-SR6、和-OR7;其中R3、R4、R5、R6和R7各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且其中R1和R4中的至少一个是十二烷基;以及
在该基材的第二部分上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括将该基材暴露于至少一种金属络合物;
其中该基材的该第一部分包含金属材料且该基材的该第二部分包含介电材料。
4.一种形成含金属膜的方法,该方法包括:
在基材的第一部分上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括将该基材暴露于结构上对应于式I的化合物:
其中,
R1和R2各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;
X1是O或S;并且
X2选自由以下组成的组:R3、NR4R5、-SR6、和-OR7;其中R3、R4、R5、R6和R7各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且其中R1和R4中的至少一个是十二烷基;以及
在该基材的第二部分上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括将该基材暴露于至少一种金属络合物;
其中该基材的该第一部分包含金属材料且该基材的该第二部分包含金属氧化物。
5.如权利要求1-4中任一项所述的方法,其中,R1、R2、R3、R4、R5、R6和R7各自独立地是C1-C15-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
6.如权利要求1-4中任一项所述的方法,其中,R1、R2、R3、R4、R5、R6和R7各自独立地是C1-C12-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
7.如权利要求1-4中任一项所述的方法,其中,X2是NR4R5
8.如权利要求1-4中任一项所述的方法,其中,R1是C1-C15-烷基,R2是C1-C4-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;X1是S;并且X2是NR4R5,其中R4是C1-C15-烷基,R5是C1-C4-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
9.如权利要求1-4中任一项所述的方法,其中,该结构上对应于式(I)的化合物是N-十二烷基-N-甲基二硫代氨基甲酸N-十二烷基-N-甲基铵盐。
10.一种形成含金属膜的方法,该方法包括:
在第一基材表面上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括气化结构上对应于式II的化合物:
其中,
X3选自由以下组成的组:R8、NR9R10、-SR11、和-OR12;其中R8、R9、R10、R11和R12各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且
X4是O或S;以及
在第二基材表面上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括气化至少一种金属络合物;
其中该第一基材表面包含金属材料且该第二基材表面包含介电材料。
11.一种形成含金属膜的方法,该方法包括:
在第一基材表面上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括气化结构上对应于式II的化合物:
其中,
X3选自由以下组成的组:R8、NR9R10、-SR11、和-OR12;其中R8、R9、R10、R11和R12各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且
X4是O或S;以及
在第二基材表面上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括气化至少一种金属络合物;
其中该第一基材表面包含金属材料且该第二基材表面包含金属氧化物。
12.一种形成含金属膜的方法,该方法包括:
在基材的第一部分上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括将该基材暴露于结构上对应于式II的化合物:
其中,
X3选自由以下组成的组:R8、NR9R10、-SR11、和-OR12;其中R8、R9、R10、R11和R12各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且
X4是O或S;以及
在该基材的第二部分上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括将该基材暴露于至少一种金属络合物;
其中该基材的该第一部分包含金属材料且该基材的该第二部分包含介电材料。
13.一种形成含金属膜的方法,该方法包括:
在基材的第一部分上通过第一沉积工艺形成阻挡层,该第一沉积工艺包括将该基材暴露于结构上对应于式II的化合物:
其中,
X3选自由以下组成的组:R8、NR9R10、-SR11、和-OR12;其中R8、R9、R10、R11和R12各自独立地是C1-C20-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代;并且
X4是O或S;以及
在该基材的第二部分上通过第二沉积工艺形成该含金属膜,该第二沉积工艺包括将该基材暴露于至少一种金属络合物;
其中该基材的该第一部分包含金属材料且该基材的该第二部分包含金属氧化物。
14.如权利要求10至13中任一项所述的方法,其中,R8、R9、R10、R11和R12各自独立地是C1-C15-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
15.如权利要求10至13中任一项所述的方法,其中,R8、R9、R10、R11和R12各自独立地是C1-C10-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
16.如权利要求10至13中任一项所述的方法,其中,X3是R8
17.如权利要求10至13中任一项所述的方法,其中,X3是NR9R10
18.如权利要求10至13中任一项所述的方法,其中,X3是NR9R10;X4是S;R9是C1-C4-烷基并且R10是C1-C12-烷基,各自任选地被三氟甲基、羟基、腈基、烷氧基及氨基中的一个或多个取代。
19.如权利要求10至13中任一项所述的方法,其中,该结构上对应于式(II)的化合物是N-十二烷基-N-甲基二硫代氨基甲酸。
20.如权利要求10至13中任一项所述的方法,其中,X3是-SR11
21.如权利要求10至13中任一项所述的方法,其中,X3是-OR12
22.如权利要求1至4和10至13中任一项所述的方法,其中,该金属络合物在结构上对应于式III:
其中M是Hf;并且
L1、L2、L3和L4各自独立地选自由以下组成的组:C1-C8-烷基、C1-C8-烷氧基及任选地被至少一个C1-C8-烷基取代的Cp基团。
23.如权利要求1至4和10至13中任一项所述的方法,其中,该金属络合物是(MeCp)2Hf(OMe)(Me)。
24.如权利要求1至4和10至13中任一项所述的方法,其中,该含金属膜的金属以少于15at.%的量存在于该阻挡层上。
25.如权利要求1至2和10至11中任一项所述的方法,其中,该第一基材表面及该第二基材表面存在于同一个基材或不同基材上。
26.如权利要求1至4和10至13中任一项所述的方法,其中,该金属材料包含W、Co、Cu或其组合。
27.如权利要求1至4和10至13中任一项所述的方法,其中,该介电材料包含SiO2、SiN或其组合,或该金属氧化物材料包含HfO2、ZrO2、SiO2、Al2O3或其组合。
28.如权利要求1至4和10至13中任一项所述的方法,其中,该结构上对应于式(I)的化合物、该结构上对应于式(II)的化合物或其组合在低于150℃的温度下递送。
29.如权利要求1至4和10至13中任一项所述的方法,其中,该第一沉积工艺及该第二沉积工艺独立地是化学气相沉积或原子层沉积。
30.如权利要求29所述的方法,其中,该化学气相沉积是脉冲化学气相沉积、连续流动化学气相沉积或液体注射化学气相沉积。
31.如权利要求29所述的方法,其中,该原子层沉积是液体注射原子层沉积或等离子体增强原子层沉积。
32.如权利要求1至4和10至13中任一项所述的方法,其中,该金属络合物以脉冲形式与氧源的脉冲交替递送至基材。
33.如权利要求32所述的方法,其中,该氧源选自由以下组成的组:H2O、H2O2、O2、臭氧、空气、i-PrOH、t-BuOH和N2O。
34.如权利要求1至4和10至13中任一项所述的方法,其进一步包括气化至少一种选自由以下组成的组的共反应物:氢气、氢等离子体、氧气、空气、水、氨、肼、硼烷、硅烷、臭氧以及其任何两种或更多种的组合。
35.如权利要求1至4和10至13中任一项所述的方法,其中,该方法用于DRAM或CMOS应用。
CN202080078782.4A 2019-11-20 2020-11-03 用于选择性形成含金属膜的化合物及方法 Active CN114746573B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962938011P 2019-11-20 2019-11-20
US62/938,011 2019-11-20
PCT/EP2020/080785 WO2021099104A1 (en) 2019-11-20 2020-11-03 Compounds and methods for selectively forming metal-containing films

Publications (2)

Publication Number Publication Date
CN114746573A CN114746573A (zh) 2022-07-12
CN114746573B true CN114746573B (zh) 2024-05-10

Family

ID=73059917

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080078782.4A Active CN114746573B (zh) 2019-11-20 2020-11-03 用于选择性形成含金属膜的化合物及方法

Country Status (8)

Country Link
US (1) US20220411930A1 (zh)
EP (1) EP4061978A1 (zh)
JP (1) JP2023502418A (zh)
KR (1) KR20220103735A (zh)
CN (1) CN114746573B (zh)
IL (1) IL292918A (zh)
TW (1) TWI794671B (zh)
WO (1) WO2021099104A1 (zh)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209367A1 (en) * 1985-07-16 1987-01-21 Tosoh Corporation Ink composition for writing board
CN101302632A (zh) * 2007-04-03 2008-11-12 罗门哈斯电子材料有限公司 金属电镀组合物
WO2009155507A1 (en) * 2008-06-20 2009-12-23 Sigma-Aldrich Co. Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
CN102077060A (zh) * 2008-06-04 2011-05-25 G·帕特尔 一种基于腐蚀金属的监测系统
CN102449073A (zh) * 2009-07-03 2012-05-09 道康宁公司 成膜的含硅酮组合物
EP2589438A1 (en) * 2011-11-07 2013-05-08 Vlaamse Instelling voor Technologisch Onderzoek (VITO) Plasma surface activation method and resulting object
EP2902393A1 (en) * 2014-01-29 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Novel dithiocarbamate vanadium organic precursors and their use for vapor phase deposition of vanadium containing films
TW201700764A (zh) * 2015-06-16 2017-01-01 Asm Ip控股公司 形成金屬-絕緣體-半導體結構之方法及積體電路製造方法
CN107614508A (zh) * 2015-04-30 2018-01-19 海星化学制品有限公司 用于化学相沉积的有机金属化合物
CN110073474A (zh) * 2016-12-30 2019-07-30 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07107190B2 (ja) 1984-03-30 1995-11-15 キヤノン株式会社 光化学気相成長方法
US20120196449A1 (en) * 2011-01-27 2012-08-02 Advanced Technology Materials, Inc. Zirconium, hafnium and titanium precursors for atomic layer deposition of corresponding metal-containing films
US9223203B2 (en) * 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
JP2017222928A (ja) * 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
EP3555177A1 (en) * 2016-12-14 2019-10-23 Ecolab USA, Inc. Quaternary cationic polymers
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US10782613B2 (en) * 2018-04-19 2020-09-22 International Business Machines Corporation Polymerizable self-assembled monolayers for use in atomic layer deposition

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209367A1 (en) * 1985-07-16 1987-01-21 Tosoh Corporation Ink composition for writing board
CN101302632A (zh) * 2007-04-03 2008-11-12 罗门哈斯电子材料有限公司 金属电镀组合物
CN102077060A (zh) * 2008-06-04 2011-05-25 G·帕特尔 一种基于腐蚀金属的监测系统
WO2009155507A1 (en) * 2008-06-20 2009-12-23 Sigma-Aldrich Co. Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
CN102449073A (zh) * 2009-07-03 2012-05-09 道康宁公司 成膜的含硅酮组合物
EP2589438A1 (en) * 2011-11-07 2013-05-08 Vlaamse Instelling voor Technologisch Onderzoek (VITO) Plasma surface activation method and resulting object
EP2902393A1 (en) * 2014-01-29 2015-08-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Novel dithiocarbamate vanadium organic precursors and their use for vapor phase deposition of vanadium containing films
CN107614508A (zh) * 2015-04-30 2018-01-19 海星化学制品有限公司 用于化学相沉积的有机金属化合物
TW201700764A (zh) * 2015-06-16 2017-01-01 Asm Ip控股公司 形成金屬-絕緣體-半導體結構之方法及積體電路製造方法
CN110073474A (zh) * 2016-12-30 2019-07-30 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Investigation of the reaction of dithiocarbamic acid salts with trimethyl orthoformate and styrene epoxide;Azim Ziyaei Halimehjani等;Tetrahedron Letters;第56卷(第51期);第7124-7127页 *

Also Published As

Publication number Publication date
EP4061978A1 (en) 2022-09-28
CN114746573A (zh) 2022-07-12
IL292918A (en) 2022-07-01
TW202144609A (zh) 2021-12-01
TWI794671B (zh) 2023-03-01
KR20220103735A (ko) 2022-07-22
WO2021099104A1 (en) 2021-05-27
US20220411930A1 (en) 2022-12-29
JP2023502418A (ja) 2023-01-24

Similar Documents

Publication Publication Date Title
JP6596737B2 (ja) アミドイミン配位子を含む金属複合体
KR101659725B1 (ko) 휘발성 디하이드로피라지닐 및 디하이드로피라진 금속 착화합물
US20220194963A1 (en) Metal Complexes Containing Cyclopentadienyl Ligands
WO2011006035A2 (en) Bis-ketoiminate copper precursors for deposition of copper-containing films
WO2013015947A2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
US9518075B2 (en) Group 5 cyclopentadienyl transition metal-containing precursors for deposition of group 5 transition metal-containing films
CN114746573B (zh) 用于选择性形成含金属膜的化合物及方法
US20230108732A1 (en) Methods Of Selectively Forming Metal-Containing Films
TWI846016B (zh) 含有環戊二烯配位基之金屬錯合物以及形成含金屬之膜之方法
WO2018086730A1 (en) Metal complexes containing cyclopentadienyl ligands
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant