TW201447014A - 在批式反應器中循環氮化鋁沈積 - Google Patents

在批式反應器中循環氮化鋁沈積 Download PDF

Info

Publication number
TW201447014A
TW201447014A TW103118903A TW103118903A TW201447014A TW 201447014 A TW201447014 A TW 201447014A TW 103118903 A TW103118903 A TW 103118903A TW 103118903 A TW103118903 A TW 103118903A TW 201447014 A TW201447014 A TW 201447014A
Authority
TW
Taiwan
Prior art keywords
aluminum nitride
depositing
deposition
aluminum
precursor
Prior art date
Application number
TW103118903A
Other languages
English (en)
Other versions
TWI605147B (zh
Inventor
Werner Knaepen
Bert Jongbloed
Dieter Pierreux
Peter Zagwijn
Hessel Sprey
Der Jeugd Cornelius A Van
Blank Marinus Josephus De
Robin Roelofs
Qi Xie
Jan Willem Maes
Original Assignee
Asm Ip Holding Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip Holding Bv filed Critical Asm Ip Holding Bv
Publication of TW201447014A publication Critical patent/TW201447014A/zh
Application granted granted Critical
Publication of TWI605147B publication Critical patent/TWI605147B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明揭露一種沈積氮化鋁的製程。所述製程包括在批式處理室提供多個半導體基板。接著,進行多個沈積循環以使氮化鋁層沈積在基板上,基板在沈積循環期間不暴露於電漿。每一個沈積循環包括:使鋁前驅物脈衝流通到批式處理室中;將鋁前驅物從批式處理室移除;以及,在流通氮前驅物之後及流通鋁前驅物的另一脈衝之前,將氮前驅物從批式處理室移除。所述處理室可為熱壁處理室,且沈積可在小於1托耳的沈積壓力下發生。

Description

在批式反應器中循環氮化鋁沈積
本發明是關於製備半導體裝置,特別是有關於沈積氮化鋁。
氮化鋁(AlN)可以用來形成各種半導體裝置。舉例而言,氮化鋁已越來越常被用作為氮化鎵(GaN)型半導體裝置中的保護層或作為是太陽能電池中的背面場層(back surface field layer)。然而,難以沈積高均勻性的氮化鋁。因此,目前持續需要沈積氮化鋁的製程。
根據一態樣,提供一種沈積氮化鋁薄膜的製程。所述製程包括:提供具有熱壁處理室的批式爐;將多個基板裝載到處理室中;以及並在處理室中進行多個沈積循環。每一循環包括:將基板暴露於鋁前驅物的脈衝;將鋁前驅物從基板上去除;將基板 暴露於氮前驅物的脈衝;以及將氮前驅物從多個基板上去除。至少一些循環是在約300℃到約375℃的沈積溫度下進行。所述鋁前驅物可選自由烷基鋁化合物、烷基取代的氯化鋁化合物以及氫化鋁化合物所組成的群組中。
在一些實施例中,沈積溫度和/或氮前驅物的脈衝時間隨著時間推移而減少。在一些實施例中,在起始組的沈積循環期間,沈積溫度為約350℃±約25℃的第一數值,且其中在後續組的沈積循環期間,沈積溫度為約300℃±約25℃的第二數值。
根據另一態樣,提供沈積氮化鋁的製程。所述製程包括:在批式處理室中提供多個半導體基板;以及藉由進行多個沈積循環在基板上沈積氮化鋁層。每一沈積循環包括:將鋁前驅物脈衝流通到批式處理室中;接著將鋁前驅物從批式處理室移除,接著將氮前驅物脈衝流通到批式處理室中;以及,在流通氮前驅物脈衝之後及流通鋁前驅物的另一脈衝之前,將氮前驅物從批式處理室移除。基板在沈積循環的過程期間不會暴露於電漿。
在一些實施例中,所述處理室為熱壁處理室。在一些實施例中,所沈積的氮化鋁層在基板上的非均勻性為1σ=0.25%或更好。在一些實施例中,沈積壓力可為約100到約700毫托耳(mTorr)。
100‧‧‧製程
110、120、130、140‧‧‧方塊
圖1大致說明根據一些實施例之用於形成AlN膜的製程 的流程圖。
圖2是表示根據一些實施例之所沈積的膜厚度隨著TMA脈衝時間變化的圖表。
圖3是表示根據一些實施例之所沈積的膜厚度隨著所進行的沈積循環數變化的圖表。
圖4是表示根據一些實施例之所沈積的膜厚度隨著NH3脈衝時間變化的圖表。
圖5是表示根據一些實施例之在各種NH3脈衝時間下所沈積的膜厚度隨著所進行的沈積循環數變化的圖表。
圖6是表示根據一些實施例之在各種沈積溫度下所沈積的膜厚度隨著所進行的沈積循環數變化的圖表。
圖7表示根據一些實施例所沈積的AlN膜的均勻性。
氮化鋁膜通常是透過電漿輔助技術沈積在基板上的。然而,近來發現,將基板暴露於電漿時會不利地影響膜層的鈍化性和/或者電漿會損害基板上的裝置。
在「矽上氮化鋁的成核與原子層生長的機制(Mechanism of Nucleation and Atomic Layer Growth of AlN on Si.)」(T.M.Mayer、J.W.Rogers及T.A.Michalske著,Chem.Mater.1991年,第3篇,第641-646頁)中已提供在沈積期間不使用電漿的熱沈積。然而,即使在半導體製程工業中使用最先進的單一晶圓反應器, 在嘗試氮化鋁薄膜的原子層沈積時仍無法在基板上提供均勻的沈積膜。因此,由於製造出的膜均勻性差的不可接受,故這些熱沈積被視為是不成功的。
不受理論的限制下,相信上述原子層沈積的嘗試在基板上的一些部份上產生非自限性(noo-self-limiting)且不受控制的沈積,因而造成基板上的膜厚度具有很大的差異。因此,雖然理想的原子層成長為氮化鋁大致上單層-單層地沈積,但是實際上的沈積似乎包含其中膜成長為非自限性的強力化學氣相沈積組成(strong chemical vapor deposition component)。因此,尤其是跟電漿輔助的沈積可獲得的結果比較來說,熱沈積的可控制性被認為是不好的且對基板上的沈積來說是不適合的。
有利地說,本發明開發出了一種熱氮化鋁沈積製程,即使在沒有使用電漿技術的情蕸下,所述製程仍可在基板上提供高的均勻性。在一些實施例中,所述製程包括:在批式處理室提供多個半導體基板;以及藉由進行多個沈積循環在批次處理室的基板上沈積氮化鋁層(或膜),且基板在沈積循環期間不暴露於電漿。每一沈積循環包括:將鋁前驅物脈衝流通到批式處理室中,將鋁前驅物從批式處理室移除;接著將氮前驅物脈衝流通到批式處理室中;以及,在流通氮前驅物脈衝之後及流通鋁前驅物的另一脈衝之前,將氮前驅物從批式處理室移除。處理室可為熱壁處理室,且沈積可在沈積壓力為小於大約1托耳、大約50毫托耳到900毫托耳、大約100毫托耳到700毫托耳、或大約150毫托耳到550 毫托耳時進行。在一些實施例中,沈積溫度可為約400℃或更少、約150℃到375℃、約240℃到375℃、或約275℃到375℃。
在不受理論的限制下,相信通常在單一晶圓反應器中所利用的沈積壓力可能會促成鋁前驅物之間的非預期反應,進而在基板上的引起鋁的非自限性沈積。典型的單一晶圓反應器的沈積壓力可能介於1到10托耳之間。根據一些實施例,沈積壓力為約50毫托耳到約900毫托耳、約100毫托耳到約700毫托耳、或約150毫托耳到約550毫托耳,其可減少氮化鋁沈積的非自限性組成。配合相對長的前驅物脈衝時間(例如是3秒或更長),低的壓力允許前驅物在基板上良好的飽和,同時提供低程度的非自限性沈積。應理解的是,在處理室的壁上經吸附的前驅物或處理室的壁上經不完全反應的沈積物可能對沈積造成不良的影響且可能產生污染物。在不受理論的限制下,相信使用熱壁批式處理室可以減少室壁上的低品質沈積物的量,從而改善沈積在基板上的膜的品質。
有利地說,根據一些實施例所沈積的氮化鋁膜在基板上可以具有優良的均勻性。在一些實施例中,在基板上的膜的非均勻性可達到1σ=1%或更好、1σ=0.5%或更好、或者1σ=0.25%或更好。
接下來將參考圖式,其中相同的元件符號在全文中指稱相同的特徵。
圖1大致說明根據一些實施例之用於形成AlN膜的製程 100的流程圖。製程100可在批式反應器裡的處理室中進行,處理室可容納多個基板,例如25個或更多的基板、或25到150個基板。在一些實施例中,批式處理室是熱壁處理室,其中所述處理室的室壁被主動地加熱到達(例如)製程溫度。如本文所指出,此熱壁處理室的優點為可提供均勻的製程結果。在批式處理室中所處理的基板可為將在其上沈積氮化鋁的任何工件,包括由半導體形成的工件,例如半導體晶圓。
參照圖1,在方塊110中,將多個基板裝載到批式處理室中。所述基板可被加熱到沈積溫度,其為約400℃或更少、約150℃到375℃、約240℃到375℃、或約300℃到375℃。此外,在批式處理室中可建立沈積壓力,沈積壓力為約50毫托耳到約900毫托耳、約100毫托耳到約700毫托耳、或約150毫托耳到約550毫托耳。
在方塊120中,可將基板暴露於鋁前驅物脈衝中的鋁前驅物。在一些實施例中,鋁前驅物到處理室中的流通在脈衝起始時開始且在脈衝停止時結束。在一些實施例中,脈衝時間可為約2秒或更長、約2秒到約20秒、約3秒到約16秒、或約5秒到約10秒。在不受理論的限制下,相信數秒內的脈衝(包括2秒或3秒或更長)可理想地在基板上達成鋁前驅物的高程度自限性的覆蓋。然而,過長的脈衝時間可能會造成個別的鋁前驅物分子與其他鋁前驅物分子之間的反應,造成不想要的非自限性沈積。在一些實施例中,所述鋁前驅物脈衝時間可小於約20秒、或少於約16 秒、或約是7秒。
鋁前驅物包括有機鋁前驅物,例如是烷基鋁化合物、烷基取代氯化鋁化合物、以及烷基取代氫化鋁化合物。有機鋁前驅物的化學式可為AlR3,其中R是選自經取代的、支鏈的、直鏈或環狀的C1-C10烴類。在一些實施例中,0至3個R基團為甲基而其餘的為乙基。在一些實施例中,有機鋁前驅物可以是三甲基鋁(triemethylaluminum;TMA)。在一些實施例中,有機鋁前驅物同時具有鹵配位基和有機配位基(例如AlRxX3-x),其中x是1到2,且R是有機配位基(例如烷基或烯基),且X是鹵素(例如氯)。此類的鋁前驅物可為(例如)氯化二甲基鋁((CH3)2AlCl)。在一些實施例中,鋁前驅物是鹵化鋁,例如三氯化鋁(AlCl3)或碘化鋁(AlI3)。
在步驟130中,將鋁前驅物的脈衝停止後,將基板暴露於氮前驅物脈衝中的氮前驅物。氮前驅物的實例包括氨(ammonia)、聯氨(hydrazine)和聯氨的衍生物。在一些實施例中,氮前驅物到處理室中的流通在脈衝起始時開始且在脈衝停止時結束。在一些實施例中,脈衝時間可為約3秒或更長、或約10秒或更長。在一些實施例中,脈衝時間可以是約3秒到約90秒、約3秒到約30秒、或約3秒到約10秒。在不受理論的限制下,相信約3秒到約10秒的脈衝時間可理想地使氮前驅物與鋁前驅物在基板表面上完全反應。更長的脈衝時間可以增加所沈積的膜厚度。
參照圖1,步驟120及步驟130構成沈積循環步驟140。可重複所述沈積循環步驟多次以形成所需厚度的氮化鋁膜。應理 解的是,所述膜可以完全地覆蓋基板、或是可位於在基板上分散的局部區域中,此取決於(例如)鋁前驅物與基板表面上的不同區域的反應性。
儘管為了便於描述而未多做繪示,但應理解的是,循環140可以包括一或多個前驅物移除步驟。舉例而言,在方塊120與方塊130之間,可將鋁前驅物從基板附近移除或去除,例如藉由流通惰性氣體到處理室中而不流通前驅物質和/或藉由排淨(evacuate)處理室以從所述室中移除鋁前驅物。
相似地,在步驟130之後及在將基板再次暴露鋁前驅物之前,可以將氮前驅物從基板的附近移除。此也可藉由(例如)流通惰性氣體到處理室中而不流通前驅物質和/或藉由排淨處理室以從所述室移除氮前驅物。因此,在一些實施例中,沈積循環可包括:●將基板暴露於鋁前驅物脈衝;●將鋁前驅物從處理室移除;●將基板暴露於氮前驅物脈衝;以及●將氮前驅物從處理室移除。
用於鋁及氮前驅物的前驅物移除時間可為相同或不同。在一些實施例中,鋁前驅物的去除時間約是1到7秒,而氮前驅物的去除時間約是7到30秒、或約7到15秒。已發現去除鋁前驅物的時間並對膜的均勻性不會產生強烈的影響,但是去除氮前驅物的時間則對膜的均勻性產生強烈的影響。在一些實施例中,去除氮前驅物的時間是去除鋁前驅物的時間的至少約1.5倍、約2倍、或約 3倍。
如本文所述,可重複沈積循環步驟以形成所需厚度的氮化鋁膜。在一些實施例中,全部的循環可以在相同的條件下進行,例如相同的沈積溫度和/或壓力。在一些其他的實施例中,在第一期間用於進行第一組的一或多個沈積循環的條件可以不同於在第二期間用於進行第二組的一或多個沈積循環的條件。
在一些實施例中,第一組沈積循環的沈積溫度高於第二組沈積循環。在不受理論的限制下,相信氮化鋁膜的沈積速率強烈地取決於用於沈積膜的表面。已發現,氮化鋁膜沈積速率在進行起始組的沈積循環後增加,且相信較低的起始沈積速率是因為有抑制期的存在,一旦在基板上沈積封閉的氮化鋁膜後,抑制期就會消失。已發現,在抑制期期間增高沈積溫度可以增加沈積速率且同時保持高的膜均勻性。在一些實施例中,在第一期間用於進行沈積循環的沈積溫度相較於後續期間用於進行沈積循環的沈積溫度高出至少約25℃、高出至少約25℃到約75℃、或高出至少約25℃到約50℃。在一些實施例中,第一期間的沈積溫度是約350℃±約25℃、或約350℃±約10℃,而第二期間的沈積溫度是約300℃±約25℃、或約300℃±約10℃。在一些實施例中,第一期間可以包括約10次或更多次的沈積循環、約10到約50次的沈積循環、或約20到約40次的沈積循環。
還發現的是,在抑制期過後的沈積速率變得比較不會受氮前驅物的脈衝時間影響。在一些實施例中,氮前驅物脈衝時間 可以隨著時間推移而減少。舉例而言,在第一期間用於進行沈積循環的氮前驅物的脈衝時間可以高於在第二期間(例如是抑制期後的期間)。舉例而言,在第一期間中的氮前驅物的脈衝時間可為約10秒或更長,而第二期間則是落於約3到約10秒之間或約3到約5秒之間。
應理解的是,所沈積的氮化鋁膜可用於在各種應用中。舉例而言,氮化鋁膜可以用作為例如是氮化鎵(GaN)上的保護層。在GaN上使用氮化鋁保護層可以大大地降低界面能態(interface state)。在一些實施例中,在沈積氮化鋁保護膜層後,閘介電質可以由氧化矽(SiO2)、氧化鋯(ZrO)、氧化鉿(HfO2)、氧化鋁(Al2O3)、或這些氧化物任意組合的雙層或三層或奈米疊層沈積而成,這些氧化物可藉由使用基板於前驅物的循環暴露的原子層沈積製程來沈積,例如可將基板在不同的時間點上暴露於不同的前驅物。在一些實施例中,氮化鋁保護薄膜與閘介電質可以在相同的處理室中原位(in-situ)沈積。
實驗例
在A412立式爐中沈積氮化鋁膜,A412立式爐購入於ASM國際公司(ASM International),公司所在地為荷蘭AP阿美爾凡第克史瓦四街1332號(Versterkerstraat 4,1322 AP Almere,the Netherlands)。所述的立式爐有一個無襯裡的處理室,鋁前驅物及氮前驅物是注入到此處理室中,每一種氣體是透過獨立的多孔注 射器流通。去除氣體(purge gas)可以是透過多孔注射器注入和/或透過「傾印(dump)」注射器注入,「傾印」注射器是一個沒有中間孔洞但接近處理管頂部具有開孔端的注射管。在處理管的底部排出氣體。基板是置放在支架或「船型」結構中,在沈積過程時可使此結構在處理室中旋轉。所述處理室是熱壁處理室。
將三甲基鋁(TMA)用作為鋁前驅物且將氨氣(NH3)用作為氮前驅物。除非本文有另外提出,否則沈積是在350℃下進行,進行70次的循環沈積,施加船型旋轉(boat rotation),以及進行以下循環步驟: 在去除步驟期間,透過傾印注射器注入5slm的N2。透過多孔注射器流入額外量的氮氣。沒有控制室壓但是對處理室施用最大的泵送(pumping)及排淨(evacuation),因此室壓是在150到550毫托耳之間。150毫托耳的壓力是在前驅物暴露步驟期間的壓力,而550毫托耳的壓力是在去除步驟期間的壓力。
圖2是表示所沈積的膜厚度隨著TMA脈衝時間變化的圖表,其在350℃的沈積溫度下持續70次的循環。從上述圖表來看,膜厚度並沒有隨著TMA脈衝時間增加而飽和。取而代之的 是,脈衝時間的增加提供了氮化鋁膜厚度的增加。因此,相信氮化鋁沈積製程如同純原子層沈積(ALD)一樣為非自限性的。相對來說,氮化鋁沈積包含沈積速率為每次循環1.25昂(Ang)的自限性組成(空心菱形)以及沈積速率為0.044昂/秒(Ang/s)的非自限性組成(空心三角形)。自限性組成在TMA脈衝時間為3秒或更長時是顯而易見的。對16秒的TMA脈衝時間來說,非自限性組成與自限性組成對所沈積的膜厚度的貢獻大約相等。已發現約7秒的TMA脈衝時間提供良好的沈積速率以及高的均勻性。
圖3是表示所沈積的膜厚度隨著所進行的沈積循環次數變化的圖表。值得注意的是,在起初的30到40次的循環中,有效的沈積速率是相對低的,為0.31昂/循環(Ang/cycle),且接著,1.25昂/循環的自限性組成完全地擴展,且使得總沈積速率增加到1.56昂/循環。相信低的起始沈積速率是因為氮化鋁的自限性組成受到初始抑制期的影響。
圖4是表示所沈積的膜厚度隨著NH3脈衝時間變化的圖表(在350℃下持續70次循環的沈積)。在圖5的幫助下應當可以理解到,沈積的膜厚度將隨著NH3脈衝時間增加而增加,圖5表示三個晶圓在不同晶圓位置的膜厚度:S15、S65及S115分別是在處理室中的底部、中間及頂部區域中的基板。應理解到的是,圖表中的三角形和菱形實質上重疊,此展現在爐內形成良好均勻性的膜厚度。
圖5是表示在各種NH3脈衝時間下所沈積的膜厚度隨著 所進行的沈積循環數變化的圖表。各種NH3脈衝時間是10、30以及90秒。已發現,自限性組成沈積的抑制期將隨著NH3脈衝時間增加而減少。對90、30以及10秒的NH3脈衝時間來說,抑制期分別為約10次、約30次、約45次的循環。因此,對更長的NH3脈衝時間來說會有更高效率的沈積速率。然而,在抑制期過後,對所有的NH3脈衝時間來說每次循環的沈積速率實質上相等。此表示,即使是對於10秒的NH3脈衝時間來說,也能夠完全得到自限性的沈積。預期到的是,在抑制期過後,3秒的NH3脈衝時間足以達到完全飽和的自限性沈積。
圖6是在不同沈積溫度下所沈積的膜厚度隨著沈積循環次數變化的圖表。大部份的沈積在350℃下進行。在前述的溫度下,100次的循環產生約14奈米的膜厚度。當沈積溫度降低到300℃時,100次的循環產生僅2奈米的膜厚度。然而,當在350℃下進行起初的50次循環且接著繼續在較低的溫度300℃下進行沈積時,觀察到膜厚度僅有稍微地減少到11奈米。據信,抑制期在較低的溫度時為實質上較長的,因此最終的薄膜厚度較低。然而,一旦形成封閉的氮化鋁後,每循環所沈積的膜厚度僅會稍微地取決於沈積溫度。因此,相信表面對前驅物的分解及膜形成是有影響的。這些結果表示用於TMA的沈積溫度的有效範圍為約300℃到約375℃。在溫度在375℃以上時,預期TMA的分解速率變會變得過大而使得沈積難以適當地控制。
圖7表示所沈積的氮化鋁膜的均勻性。此膜的沈積條件 如前述實例所描述者。發現氮化鋁膜非常的均勻,其在基板上的膜的非均勻性為1σ=0.25%。相信良好的均勻性表示沈積強烈受到表面控制。此表面控制通常與自限性的製程有關,而本實例卻具有對所沈積的膜厚度有顯著貢獻的非自限性組成。儘管如此,所述沈積製程仍有利地提供良好的沈積表面控制。
更評估了去除時間(purge time)對薄膜均勻性的影響。就TMA去除步驟而言,所施用的時間是1到7秒且沒有對膜的均勻性造成影響。就NH3去除步驟而言,所施用的去除時間是3到30秒。相較於15秒及30秒的去除時間,在三個最短的去除時間(3、5、以及7秒)中觀察到顯著較高的非均勻性,而去除時間為3秒時的非均勻性最高,且非均勻性會在去除時間為5秒及7秒時逐漸地降低。應理解的是,當NH3去除時間是7秒或更多、且較佳為15秒或更多時,優點是能夠形成高度均勻的氮化鋁膜。
雖然上述實例是以TMA來進行,但是應理解的是其他的有機鋁前驅物將能夠提供類似的有益效果。所述前驅物已在上文中被揭露,且可以包括(例如)具有足夠揮發性且分解溫度與TMA相似的鋁烷基前驅物、或烷基取代鋁氯化物或氫化物。下表提供了前驅物的一些實例。
本技術領域具有通常知識者應理解的是,在不違背本發明的精神及範疇下可對本發明進行各種修改及變化。其它相似的修改和變化都將落入本發明的範疇之內,如所附的申請專利範圍所定義者。
100‧‧‧製程
110、120、130、140‧‧‧方塊

Claims (27)

  1. 一種沈積氮化鋁薄膜的製程,包括:提供批式爐,所述批式爐具有熱壁處理室;將多個基板裝載到所述處理室中;以及在所述處理室中進行多個沈積循環,各所述循環包括:將所述基板暴露於鋁前驅物的脈衝,所述鋁前驅物是選自由烷基鋁化合物、烷基取代氯化鋁化合物、以及烷基取代氫化鋁化合物所組成的群組中;將所述鋁前驅物從所述基板去除;將所述基板暴露於氮前驅物的脈衝;以及將所述氮前驅物從多個所述基板去除,其中至少一些所述循環是在約300℃到約375℃的沈積溫度下進行。
  2. 如申請專利範圍第1項所述的沈積氮化鋁薄膜的製程,其中所述沈積溫度隨著時間推移而降低。
  3. 如申請專利範圍第2項所述的沈積氮化鋁薄膜的製程,其中所述沈積溫度在起始組的所述沈積循環期間為約350℃±約25℃的第一數值,且其中所述沈積溫度在後續組的所述沈積循環期間為約300℃±約25℃的第二數值。
  4. 如申請專利範圍第1項所述的沈積氮化鋁薄膜的製程,其中在所述處理室中的沈積壓力小於1托耳。
  5. 如申請專利範圍第1項所述的沈積氮化鋁薄膜的製程,其 中所述鋁前驅物的所述脈衝的時間為約3秒或更長。
  6. 如申請專利範圍第6項所述的沈積氮化鋁薄膜的製程,其中所述鋁前驅物的所述脈衝的時間為約5秒到約10秒。
  7. 如申請專利範圍第5項所述的沈積氮化鋁薄膜的製程,其中所述氮前驅物的所述脈衝的時間為約3秒或更長。
  8. 如申請專利範圍第7項所述的沈積氮化鋁薄膜的製程,其中所述氮前驅物的所述脈衝的時間為約10秒或更長。
  9. 如申請專利範圍第7項所述的沈積氮化鋁薄膜的製程,其中所述氮前驅物的所述脈衝的時間在第一時段期間是第一數值,並且在第二時段期間減少到第二數值。
  10. 如申請專利範圍第1項所述的沈積氮化鋁薄膜的製程,其中將所述鋁前驅物從所述基板上去除的進行時間為約1秒或更長。
  11. 如申請專利範圍第1項所述的沈積氮化鋁薄膜的製程,其中將所述氮前驅物從所述基板上去除的進行時間為約7秒或更長。
  12. 如申請專利範圍第1項所述的沈積氮化鋁薄膜的製程,其中所述氮前驅物是NH3
  13. 如申請專利範圍第1項所述的沈積氮化鋁薄膜的製程,其中所述氮前驅物是聯氨。
  14. 一種沈積氮化鋁的製程,包括:在批式處理室提供多個半導體基板;以及 在所述批式處理室中藉由進行多個沈積循環以在所述基板上沈積氮化鋁層,各所述沈積循環包括:流通鋁前驅物脈衝到所述批式處理室中;將所述鋁前驅物從所述批式處理室移除;接著流通氮前驅物脈衝到所述批式處理室中;以及在流通所述氮前驅物之後及流通所述鋁前驅物的另一脈衝之前,將所述氮前驅物從所述批式處理室移除,其中所述基板在所述沈積循環期間不暴露於電漿。
  15. 如申請專利範圍第14項所述的沈積氮化鋁薄膜的製程,其中所述處理室為熱壁處理室。
  16. 如申請專利範圍第15項所述的沈積氮化鋁薄膜的製程,其中用於沈積所述氮化鋁層的沈積壓力為約100毫托耳到約700毫托耳。
  17. 如申請專利範圍第14項所述的沈積氮化鋁薄膜的製程,其中所述氮化鋁層在所述基板上的非均勻性為1σ=0.25%或更好。
  18. 如申請專利範圍第14項所述的沈積氮化鋁薄膜的製程,其中起始期間用於進行多個所述沈積循環的沈積溫度相較於後續期間用於進行多個所述沈積循環的沈積溫度而言高出至少約25℃。
  19. 如申請專利範圍第18項所述的沈積氮化鋁薄膜的製程,其中所述起始期間的所述沈積溫度相較於所述後續期間的所述沈積溫度而言高出至少約50℃。
  20. 如申請專利範圍第18項所述的沈積氮化鋁薄膜的製程,其中在所述起始期間的所述沈積溫度為約350℃±約25℃,且其中在所述後續期間的所述沈積溫度為約300℃±約25℃。
  21. 如申請專利範圍第14項所述的沈積氮化鋁薄膜的製程,其中所述氮前驅物的去除時間是所述鋁前驅物的去除時間的至少約1.5倍。
  22. 如申請專利範圍第14項所述的沈積氮化鋁薄膜的製程,其中移除所述鋁前驅物以及移除所述氮前驅物包括排淨所述批式處理室。
  23. 如申請專利範圍第14項所述的沈積氮化鋁薄膜的製程,其中所述半導體基板包括氮化鎵,其中所述氮化鋁層是沈積在所述氮化鎵上。
  24. 如申請專利範圍第23項所述的沈積氮化鋁薄膜的製程,更包括:在所述氮化鋁層上形成閘介電質。
  25. 如申請專利範圍第24項所述的沈積氮化鋁薄膜的製程,其中所述閘介電質包括氧化矽(SiO2)、氧化鋯(ZrO2)、氧化鉿(HfO2)、氧化鋁(Al2O3)或這些物質的組合物。
  26. 如申請專利範圍第25項所述的沈積氮化鋁薄膜的製程,其中所述組合物是雙層或三層或奈米疊層,而所述雙層或三層或奈米疊層中的各膜層包括氧化矽(SiO2)、氧化鋯(ZrO2)、氧化鉿(HfO2)或氧化鋁(Al2O3)中的一者。
  27. 如申請專利範圍第24項所述的沈積氮化鋁薄膜的製程,其中所述閘介電質是在所述處理室中原位形成的。
TW103118903A 2013-05-31 2014-05-30 在批式反應器中循環氮化鋁沈積 TWI605147B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/907,718 US9552979B2 (en) 2013-05-31 2013-05-31 Cyclic aluminum nitride deposition in a batch reactor

Publications (2)

Publication Number Publication Date
TW201447014A true TW201447014A (zh) 2014-12-16
TWI605147B TWI605147B (zh) 2017-11-11

Family

ID=51899578

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103118903A TWI605147B (zh) 2013-05-31 2014-05-30 在批式反應器中循環氮化鋁沈積

Country Status (5)

Country Link
US (1) US9552979B2 (zh)
JP (1) JP6370103B2 (zh)
KR (1) KR102026826B1 (zh)
DE (1) DE102014107511B4 (zh)
TW (1) TWI605147B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741394B2 (en) 2016-04-18 2020-08-11 Asm Ip Holding B.V. Combined anneal and selective deposition process

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9837281B2 (en) 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN114402413A (zh) * 2019-08-09 2022-04-26 应用材料公司 用于处理腔室部件的保护性多层涂层
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0674502B2 (ja) * 1990-03-29 1994-09-21 株式会社半導体エネルギー研究所 半導体装置
JPH0574724A (ja) * 1991-09-12 1993-03-26 Toppan Printing Co Ltd アルミニウム化合物の原子層成長方法
US5915164A (en) * 1995-12-28 1999-06-22 U.S. Philips Corporation Methods of making high voltage GaN-A1N based semiconductor devices
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6297538B1 (en) * 1998-03-23 2001-10-02 The University Of Delaware Metal-insulator-semiconductor field effect transistor having an oxidized aluminum nitride gate insulator formed on a gallium nitride or silicon substrate
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100387259B1 (ko) 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
US7071519B2 (en) * 2003-01-08 2006-07-04 Texas Instruments Incorporated Control of high-k gate dielectric film composition profile for property optimization
US8012442B2 (en) * 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
JP4952055B2 (ja) * 2006-05-19 2012-06-13 住友電気工業株式会社 Iii族窒化物半導体を成長する方法、およびiii族窒化物半導体装置を作製する方法
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8039301B2 (en) * 2007-12-07 2011-10-18 The United States Of America As Represented By The Secretary Of The Navy Gate after diamond transistor
US20100006895A1 (en) * 2008-01-10 2010-01-14 Jianjun Cao Iii-nitride semiconductor device
JP5513767B2 (ja) 2008-06-25 2014-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および半導体装置
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
JP5587564B2 (ja) * 2009-06-19 2014-09-10 ルネサスエレクトロニクス株式会社 電界効果トランジスタおよび電界効果トランジスタの製造方法
WO2011008531A2 (en) * 2009-06-30 2011-01-20 University Of Florida Research Foundation, Inc. Enhancement mode hemt for digital and analog applications
JP5410174B2 (ja) * 2009-07-01 2014-02-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理システム
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US8390000B2 (en) * 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US20110083735A1 (en) 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
US8389977B2 (en) * 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
JP2011192834A (ja) * 2010-03-15 2011-09-29 Advanced Power Device Research Association 半導体装置および半導体装置の製造方法
US8383471B1 (en) * 2011-04-11 2013-02-26 Hrl Laboratories, Llc Self aligned sidewall gate GaN HEMT
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US8653558B2 (en) * 2011-10-14 2014-02-18 Freescale Semiconductor, Inc. Semiconductor device and method of making
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741394B2 (en) 2016-04-18 2020-08-11 Asm Ip Holding B.V. Combined anneal and selective deposition process
TWI707971B (zh) * 2016-04-18 2020-10-21 荷蘭商Asm Ip控股公司 複合退火以及選擇性沈積製程

Also Published As

Publication number Publication date
DE102014107511B4 (de) 2022-12-29
TWI605147B (zh) 2017-11-11
JP2014236220A (ja) 2014-12-15
US9552979B2 (en) 2017-01-24
DE102014107511A1 (de) 2014-12-04
US20140357090A1 (en) 2014-12-04
KR20140141521A (ko) 2014-12-10
KR102026826B1 (ko) 2019-11-04
JP6370103B2 (ja) 2018-08-08

Similar Documents

Publication Publication Date Title
TWI605147B (zh) 在批式反應器中循環氮化鋁沈積
TWI685584B (zh) 用於積體電路製造的方法
US9837281B2 (en) Cyclic doped aluminum nitride deposition
US11851755B2 (en) Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102543288B1 (ko) 기판 상에 구조물을 형성하는 방법
US9640387B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101906862B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6023854B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US9418855B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and non-transitory computer-readable recording medium
TWI410513B (zh) 金屬矽化物膜之原子層沈積
JP5813281B2 (ja) バッチaldリアクタのための処理プロセス
KR20190095274A (ko) 반도체 처리 장치
TWI648791B (zh) Etching method
KR102503141B1 (ko) 펄스화된 질화물 캡슐화
TWI506696B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
TWI720540B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
US20160153090A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2009218586A (ja) Ald技術を用いたドーピング
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
TW201700761A (zh) 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9984887B2 (en) Method of manufacturing a semiconductor device
JP2016186969A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2014143252A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2014112572A1 (ja) 半導体装置の製造方法および基板処理装置