TW201230153A - Apparatus for chemical vapor deposition - Google Patents

Apparatus for chemical vapor deposition Download PDF

Info

Publication number
TW201230153A
TW201230153A TW100133860A TW100133860A TW201230153A TW 201230153 A TW201230153 A TW 201230153A TW 100133860 A TW100133860 A TW 100133860A TW 100133860 A TW100133860 A TW 100133860A TW 201230153 A TW201230153 A TW 201230153A
Authority
TW
Taiwan
Prior art keywords
gas
diffusion
chemical vapor
vapor deposition
hole
Prior art date
Application number
TW100133860A
Other languages
English (en)
Other versions
TWI514445B (zh
Inventor
Yun-Sung Huh
Seung-Il Park
Original Assignee
Snt Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Snt Co Ltd filed Critical Snt Co Ltd
Publication of TW201230153A publication Critical patent/TW201230153A/zh
Application granted granted Critical
Publication of TWI514445B publication Critical patent/TWI514445B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201230153 六、發明說明: 【發明所屬之技術領域】 本發明涉及用於化學氣相沉積的裝置。 【先前技術】 用於在物體上形成薄膜的方法一般分為物理氣相沉積(PVD) 方法(例如’濺射)和化學氣相沉積(CVD)方法,在PVD方法 中,以沉積源的物理特性和薄膜材料的物理特性相同的方式形成 薄膜,在CVD方法中,以沉積源的物理特性和薄膜材料的物理特 性不同的方式使用化學反應形成薄膜。 但是,由於PVD方法成分或厚度的均勻性和臺階覆蓋性不如 CVD方法,因此通常更多地使用CVD方法。CVD方法包括 APCVD (大氣壓CVD)方法,lpcvd (低壓cvd)方法、pECV〇 (等離子體增強CVD)方法等。 在CVD方法中,由於PECVD方法能夠低溫沉積和快速形成 薄膜,因此目前已經廣泛使用PECVD方法。PECVD方法涉及到 其中將RF功率施加到注入到反應腔中的反應氣體以使得反應氣 體處於等離子航態和使得等離子體巾的基團沉齡晶片或玻璃 基板上的方法。 不管採用了哪種方法’對於義沉難程制鍵的是均勻沉 積薄膜’且由此已經對此提出了大量改進觀點。為了均勻沉積薄 膜,均勻分佈反應氣體或等離子體起到非常重要的作用。 PECVD t置是在薄膜製程中必不可少的設備,且由於所需產 量的規模較大’因此PECVD裝㈣_正在逐步秋。例如,用 s>. 4 201230153 於製造平面螢幕顯㈣件的當程愤_PECVD裝置非常 大,其一邊尺寸可能超過2米, 體功能,哺得所需品質的_。域要錢為精確地構造其具 矣面出了胁改善噴觀體的雜和最小化由氣體噴射 脹引起_曲現象的想法,從而使彻於製造大表面 積薄膜的PECVD裝置崎細厚度均勾。 圖1示出了通用PECVD震置的簡要結構,且以下參考圖1 描述的是使用PECVD裝置的製程。 首先,一旦通過機械臂(未示出)將基板3安全地接收在安 裝在反應室1内部的基座2的上表面上,用於薄·程的氣體經 由氣體導人管(pipe) 7進人位於_ 4上料緩触間5並林 中擴散。通過喷頭4的噴灑管嘴(n〇zzle)如,將在緩衝空間5中 擴散的氣體均勻噴_基板3上方,域過經由等離子體電極6 提供的RF (射頻)功率’將所噴灑的氣體轉換成等離子體8的狀 態。將等離子體8狀態下的反應氣體沉賴基板3上,且通過真 空泵(未示出)經由排氣管9排放在薄膜沉積製程完成之後殘留 的任何反應氣體。 但是’如圖2中所示’在PECVD裝置中的噴頭4的問題在於, 由於其自身重量和熱變形導致巾間下垂。由於自高溫轉子體和 安裝在基座2中的加熱器(未示出)傳送的熱量導致的熱膨脹引 起了熱變形,且水準方向上的熱膨脹大於垂直(厚度)方向上的 熱膨脹。 當喷頭4的中間下垂且由此翹曲時,喷頭4和基座2之間的 201230153 距離在中間比在週邊區域更接近,使得喷灌氣體 勻且使得製程均勻性變差。 、佈密度不均 【發明内容】
本發明提供了一種用於化學氣相沉積的裝置, U 體平穩流動和最小化喷頭的熱雜變形。 、许製程氣 技術方f -方面構造了—種用於化學氣㈣積的裝置,包 括·衣耘至,所述製程室被構造成界定反應空 乳體擴政縣’所述氣體擴散部件被設置在氣體人 :氣體入口分離,所述氣體擴散部件通過第,合部件輕合到: 板’和所述氣雜散部件被配置成擴散經域體人口提 乳體;喷頭’所述噴頭被設置在背板和氣體擴散部件下方且: 返背板和鐘概部件姆,·_射卿 顧 部件輕合到氣體擴散部件,且所述喷頭中穿孔形成有多== 孔,和基座,所·座被設置在铜下方赖所射頭分離 所述基座支縣板。所述氣體擴散部件可具有形成於其中的多個 =引導孔,親咖㈣_鳩 抖的下側,所述多個氣體引導孔垂直穿透所述氣體擴散部件。 所述多個氣體料孔贱_·著醜氣體擴散部件的中 心的圓的圓周設置。 τ 所述氣體擴散部件可包括:切板;和形成在所述支撐板的
S 6 201230153 上表面上的突出部件。 所述氣體引導孔可形成在所述突出部件中。 導孔的底部 在所述氣體擴散部件中可形成有連接所述氣體引 的環形擴散通路。 所述擴散通路可具有向著下側變寬的矩形形狀截面。 所述裝置還可包括安裝在所述氣雜散部件的下表面上的擴 散板’以便覆蓋所述擴散通路。其巾在所述擴散板巾可形成有多 個擴散孔’所述乡個擴散孔的巾⑽騎述氣則導孔的中心。 所述擴散孔的數量可大於所述氣體引導孔的數量。 所述多個擴散孔可以減規姻隔沿著圍繞氣_散部件的 中心的圓的圓周設置。 在所述氣體擴散部件的下表面上可形成有接收溝槽,在所述 接收溝槽中接收有所述擴散板。 所述擴散孔的上端截面積可向著擴散孔的上側增大。 所述擴散孔的下端截面積可向著擴散孔的下側增大。 所述支撐板的橫向側面可向著所述支樓板的中心傾斜。 所述製程室可具有六面體形狀,所述支撐板可為盤狀。所述 大出4件可具有直角錐形狀,和所述突出部件的橫向側面可分別 面對製程室的拐角。 所述第一耦合部件可設置在自所述突出部件的中心穿過所述 大出部件的拐角的線性路徑上。 所述製程室可具有六面體形狀,所述支撐板可具有矩形板形 狀,所述突出部件可具有圓錐形狀。所述支撐板的橫向側面可分 201230153 別面對製程室的拐角β 置在自所述突出部件的中心穿過所述 〇 一耦合部件中的至少一個可以是螺 所述第一耦合部件可設 支撐板的拐角的線性路徑上 所述第一耦合部件和第 絲。 件還可包括夾持部件’所述續部件通過第三麵合部 噴頭,讀域_的邊緣,並且所述鱗部件設置在 =碩的㈣側邊上,在所述炎持部件和所述喷頭之間具有預定間 邻侔Γί裝置還可包域阻部件,所述敝部件插人在所述夾持 α' Μ板之間,賴述熱阻部件的—側與f板的下表面接觸, 所述熱阻部件的另—側與侧的上表面接觸。 所述夾持部件可包括用於支稽喷頭的下表面的水準部分和用 於支標噴頭的橫向表面的垂直部分,和所述噴頭的邊緣的下部中 可形成有祕與夹持部件的水準部分4合的溝槽。 所述熱阻部件可以是薄金屬板。 所述熱阻部件可以由鋁或鋁合金製成。 在所述噴頭的邊緣中可形成有橢圓形長孔,和所述裝置還可 包括第四搞合部件’所述第四麵合部件通過穿透所述續部件的 水準部分而插入到長孔中。 、 所述喷頭可具有矩雜形狀,和所述夾持部件、_形長孔 和第四耦合部件可設置在喷頭的每個橫向側邊上。 所述長孔和耦合部件可成對地設置在所述喷頭的每個横向側 201230153 邊上。 * —述背板的下端#分可形成錢面積大於氣體人口的膨服 二,且所魏體擴散部件时少—部分可設 空穴 内部。 在所述基座_部可钱有加熱導線。 所述喷頭可由紹或銘合金製成。 有益效畢 而可選實施例,可最小化噴補熱雜變形,從 而了以獲付良好品質的均勾的大面積薄膜。 【實施方式】 且二::::存在各種排列變化和實施例’因此參考附圖示出 :述了 魏例。但是,其抑任何方式將本發 ^ ,岐齡認糾包減本發_觀帥制覆蓋= 1化、倾物和替代。通過本發明的描述,當確定描述草 些技術會避開本發明的要點時,將省略相關的詳細描述。 •吾諸如第一,,和“第二,,可用於描述各 元件不應限制上述術語。上述街語僅用於區分各元件。述 在㈣巾伽的術雜意在描述#些實細,且抑任 •數目、步驟、 應解釋為排除存在一個或多個其 ^且5,且不 件、其部分或其組合等可能性。 步驟、操作、元 201230153 以下,將參考附圖詳細描述根據本發明的用於化學氣相沉積 的裝置的優選實_。不㈣號如何,對_或相應元件給 予相同參考數字,且將不再重複相同或相應元件的任何冗餘描述。 圖3是示出根據本發明實施例的用於pECVD的裝置的截面 圖。圖4是圖3中的“A”部分的截面放大圖,和圖$是圖3中的 /部分的截面放大圖。圖3至5中所示的是製程室⑽、反應 空間150、背板·、氣體人口 21()、第—搞合部件㈣、氣體擴 散部件300、喷頭400、喷灑孔彻、第二輕合部件450、長孔460、 基座、夾持部件_、第三岭部件㈣、細搞合部件67〇、 熱阻部件700和基板8〇〇。 如圖3中所示,根據本實施例的用於CVD的裝置包括:界笼 了反應蝴⑼的製程室刚,·背板·,其設置在反應空間15( 上方且在其中間具有氣體入口 21〇 ;氣體擴散部件,其被設置 在氣體入口 210下方且盥裔驶、' 人σ 2U,麵配置成擴散經 軋-入σ 1〇机入的製程氣體,·喷頭,其被設置在 7體擴散部件·下方且與背板和氣體擴散部件3⑻分離, j且具有在其中穿⑽多個麵孔·;和基座·,其 噴頭彻下方並與噴頭分離,並且支縣板_。° 氣體擴散部件300通過第一輕合部件25〇與背板轉合, 耦人頭^的中間刀通過第二轉合部件450與氣體擴散部件300 喷頭_ _部分借助於氣體擴散部件300 =月…合。根據具有這種 於熱膨脹導致的噴頭_中間部分下垂的問題。 由 201230153 更具體地,如圖4中所示,氣體擴散部件3〇〇通過諸如螺絲 的第-耗合部件250而與背板200耗合,該第一搞合部件㈣穿 過氣體擴散部件的邊緣(brim)_ _ 定距離與背板分離。而且,氣體擴散部件勘通過諸如螺絲 的第二輕合部件而與喷頭400輕合,同時氣體擴散部件3〇〇 以預定距離與噴頭分離。此處,第,合部件的端部可通過 穿透噴頭400而插入到氣體擴散部件3〇〇的中間。 儘管本實施例提出了用於第-耗合部件25〇和第二輕合部件 的螺絲,但是本發明不應關為本實施例巾提出的結構,:是只 要可固定氣體擴散部件300同時使其與背板2〇〇和喷頭4〇〇分離 就可使用任意部件(例如,銷(pin》。 製私至100界定了處於真空狀態的反應空間15〇。該製程室 1〇〇主要分成上蓋120和室主體110,諸如〇型環的密封部件(未 示出)插入到上蓋120和室主體11〇之間以密封製程室觸内部 的反應空間150不受外部影響。 背板200位於反應空間15〇的上部,更具體地,位於由上蓋 120界定的空間内。背板2GG可由諸如㈣金屬製成,用於注入製 程氣體的氣體入口 210設置在背板2〇〇的中間。氣體入口 21〇可 以是穿透背板200的孔或者是插入到孔中的管。可經由氣體入口 210將自外部氣體源(未*出)提供的製程氣體注入到背板勘 下方。 如圖4中所示,用於擴散所提供白勺製程氣體的氣體擴散部件 300位於背板200下方、更具體地位於設置在背板2〇〇中的氣體入 201230153 口 210下方。如之前所述,通過第一麵合部件,固定所述氣體 擴散部件300,同時將氣體擴散部件3〇〇與背板2〇〇分離。 氣體擴散部件用於在製程室觸内部、更具體地在背板 勘和噴頭400之間的空間2〇〇(下文中稱作“緩衝空間,,)内有 效地擴散所注入的製程氣體。為此,重要的是所注入的製程氣體 具有層流。稱後將描述氣體擴散部件的特定形狀和功能。 —如圖4中所示’具有大於氣體入口 21〇的橫截面積的膨服空 八230可形成在背板2〇〇的下端部分,可將氣體擴散部件的 一部分或全部設置在膨脹空穴23G的内部。此處,膨服空穴23〇 和軋體擴散部件300可具有相似形狀。 可通過與背板2GG和氣體擴散部件分離,將噴頭設 置在背板200和氣體擴散部件勘下方。噴頭是用於擴散所 注入的出射氣體和將製程氣體均勻喷齡設置於基座·上方的 基板整個表面上’且喷頭400可具有與製程室剛戴面形狀相似 的形狀,如’如果製程室⑽具有六面體微且由此具有矩形 截面形狀’則喷頭_可具有矩形板形狀。喷頭伽也可具有喷 紙410’在由諸如_金屬製成的俩的板狀主體中均勻穿孔以 形成喷 410。此處,噴麗孔可具有圓錐形狀,其截面積向 著下部逐步變大。 由於上述結構,首先通過形成在背板2⑻下方的氣體擴散部 件300擴散所注入的製程氣體,之後,通過喷頭_再次擴散該 製程氣體,由此可將製程氣體均勻在被接收於基座上表 面上的基板800的上表面上。 201230153 此處,RF功率900與背板200和喷頭400連接,且提供用於 激勵所喷灑的製程氣體以將經由喷頭400喷灑的製程氣體轉換成 專離子體所需的月t*篁。換句話說’背板2〇〇和嘴頭4〇〇可用作上 電極。 如圖5中所示’由於製程室100、更具體地上蓋12〇用作接地, 因此在上蓋120與用作上電極的背板和喷頭之間插入絕緣體 160、170、180,以保持其間的電絕緣。此處,將〇型環19〇設置 在絕緣體160的預定位置,以保持反應空間15〇的真空狀態。 在結晶碎太陽能電池的製造製程中,氮切(涵χ)膜主要 用作抗反射膜,可通過注人作為製程氣體的細4和丽3來執行 製程’以形成這種類型的抗反射膜。 如圖5中所可通過夾持部件㈣支撐喷頭働的邊緣, 該夾持部件刪由用於支樓噴頭彻下表面的水準部分61〇和用 於支樓喷頭400橫向表面的垂直部分62〇構成。此處,喷頭4〇〇 邊緣的下部可形成有驗熟持部件下部、即水準部分_喃合 的溝槽430。 炎持部件_’例如躲部件__直部分⑽可通過諸如 的第,合部件650而與背板細輕合,失持部件_的水 ίΓ61G可通過與噴頭的下表㈣合而支射頭_的下 猶可考橫向侧邊和失持部件_之間形成預定間隔 考慮到嘴頭400的熱膨脹,而形成該間隔·。 圖中所不,橢圓形長孔460形成在喷頭働的邊緣中, 201230153 第2合部件670可通過穿透央持部件_的水準部分⑽而插 件itr中。第喃合部件67G是崎通_合所述夾持部 和喷頭400來支射頭彻邊緣的裝置。應當理解,諸如 螺絲、銷等各種輕合裝置都可用於第四轉合部件㈣。 如圖6令所示,喷頭400中形成有長孔460。通過形成這此長 孔460,雖然存在喷頭的熱膨脹,但是由於存在第_合部件 670 ’仍可以防止過多應力作用在喷頭上。這是由於考慮到噴 頭_的熱膨脹’在長孔460中的額外空間可用作保留空間。' 如果喷頭彻為矩形板形狀,則夾持部件_、_形長孔 460和第四麵合部件67〇都可設置在噴頭_的每個橫向側邊上。 換句話說’通過在_ 鱗個橫_邊上形核持部件_ 和第四輕合部件67〇 ’可更固定地讀喷頭的邊緣。 如圖6中所示’長孔460和第四輕合部件67〇成對地設置在 喷頭40G的每崎向侧邊上且由此能更加固定地支撐喷頭·。 而且’將熱阻部件7〇〇插入在夾持部件6〇〇和背板2〇〇之間。 如圖5中所示’熱阻部件的一側與背板2〇〇的下表面接觸, 熱阻部件7G0的另-側與喷頭4〇〇的上表面接觸,由此熱阻部件 7〇〇可用於阻擋喷頭400和背板200之間的熱傳送。由於熱阻部件 700的作用,可以降低自喷頭4〇〇至背板2〇〇傳送的熱量。由諸如 鋁的材料製成的薄金屬板可用於熱阻部件7〇〇。熱阻部件7⑻的厚 度可在約1.5mm和3.0mm之間。 通過與噴頭400分離,將上面接收有基板8〇〇的基座5〇〇設 置在噴頭400下方。加熱器510可位於基座5〇〇内部,這種情況 201230153 下將接收在基座500上方的基板_加熱至適合於在薄膜沉積 製程綱進行沉積的溫度(例如彻。c )。而且,基座電接地 和由此能用作下電極,也可以通於裝載和卸載基板_的單 獨的升降裝置520升高和降低基座500。 可將排放出〇 130設置在製程室下方,更具體地在基座 500下方’使得可在完成沉積反應之後,將殘留在製程室刚内部 的製程氣體排放到外部。 以下,將更詳細地描述之前描述的氣體擴散部件3〇〇的形狀 和功能。圖7示出了經由氣體入口 21〇在製程室觸中、更具體 地在緩衝空間220中流動的製程氣體怎樣在常規pECVD裝置中擴 散。此處’箭頭表示擴散的製程氣體。 為了在基板800上形成均勻的薄膜,重要的是在整個基板_ 上均勻提供製程氣體。為此’需要使經由氣體入·口 21()提供到喷 頭_上側的製程氣體在整個喷頭4〇〇均勻分佈。但是,根據常 規技術’如圖7中所示,具有六面體形狀的製程室應的拐角區 域102遠離位於製程室勘中間的氣體入口 21(),這限制了製程氣 體在整個製程室100的均勻分佈。 考慮到上述内容,如圖8中所示,本實施例提出了一種氣體 擴散部件3GGA,其包括盤狀的切板3·和_狀的形成在支 撐板310A上表面中間的突出部件32〇A。此處,將突出部件3觀 的每個橫向側面322A都設置成面對製程冑1〇〇白勺每個拐角區域 102。 〜 在本實施例中,如圖9中所示,突出部件32〇的拐角324八可
15 S 201230153 用作阻止製程氣體流動的阻擋,且由此可以通過允許突出部件 320A的橫向側面遍面對製程室励的拐角區域ι〇2,為製程 至100的拐角區域1〇2補償製程氣體。 此處,如圖9中所示,通過將第一輕合部件250設置在自突 出部件3觀中心經過突出部件32〇a的拐角324八的線性路徑 上,該第i合部件25〇用作製程氣體移動的阻擋,從而可以允 許製程氣體在製程室卿拐角區域1〇2的方向上更平穩地流動。 塑二二,經由改良氣體擴散部件的形狀以允許製程氣體在 ^至觸相對較遠的拐角區域1〇2的方向上更平穩地流動,可 為製私至100的拐角區域1〇2補償該製程氣體,由 間220中、甚至在製程室卿中製程氣體改善的整體均句性。 310A如H所不,切板職㈣向細可形成為向著支撐板 3:的中心傾斜。更具體地,自上向下地在支雜舰邊界上 ^傾斜表面,該傾斜表面可具有中凸的f曲形狀(見圖13),且
突出部件3肅經過支撐板3黯的餘 斜表面更平穩地流動。 IMS 圖彳1〇中所不’氣體擴散部件300A可形成有垂直穿透 斤述氣體織部件300A的多個氣體弓I導孔326A。因此,經由氣 4入口 210提供的製程氣體可經由氣體引導孔纖以及氣體擴散 面r散’由此製程氣體不僅能沿著氣體擴散部件 如圖ω中所-柏細擴散部件舰的下猶效擴散。 .0ΠΔ , Τ可以按照規則間隔沿著圍繞氣體擴散部件 纖中心的圓的圓周設置氣體引導孔遞。也就是說4: a 201230153 照規則間隔在位於氣體擴散部件300A巾心的假想圓上設置氣體 引導孔326A。例如’如圖1G中所示’可存在形成在突出部件遍 中的4個氣體引導孔326A。 如圖11中所示,可以通過形成在氣體擴散部件300A下表面 上的環形擴舰路316A連接氣體將孔326A的絲。也如圖^ 中所示’氣體擴散部件300A的下表面形成有用於接收擴散板皿 的接收溝槽職,如圖12中所示,可將其中形成了擴散孔碰 的擴散板3遍安裝在接收溝槽遍中以便覆蓋擴散通路遍。 如此’通過在氣體引導孔326A底部上形成連接氣體引導孔 326A的擴散通路316A和將擴散板3规安裝在氣體擴散部件 A下表面上以便覆蓋擴散通路316A,經由氣體引導孔上 部流入的製程氣體不能直接排放向氣體擴散部件·α下侧,而是 在百先聚集在由擴散通路316A和擴散板33GA限定的空間中之 後’通過多個擴散孔332A排放向氣體擴散部件3〇〇A的下側,從 而經由氣則導孔326A叙的製魏體可叫料地排放向氣 體擴散部件300A的下側。 足種情況下’如圖12中所示,與氣體引導孔3遍相似,可 以按照規則間隔沿著圍繞氣體擴散部件·A中心的_周邊設 置擴散孔332A,但是如在圖1G和12中看到的,擴散孔纖可 形成為偏離纽引導孔326A的巾々,且可畴在比氣體引導孔 326A更多的擴散孔332A。例如,如圖ι〇和12中所示,可存在 用於4個氣體引導孔326A的8個擴散孔332八。 如此’通過將擴散孔332A設置在與氣體引導孔326A不同的 201230153 千面位置㈣成比讀引導孔326A數量更多的擴散孔麗,在 擴散通路3說找集的製程氣體可經衫 332A更加均句地擴散到氣體擴散部件的下側。、散 以下’將參考圖13至16描述經由氣體擴散部件300A的上表 面和氣體引導孔326A的製程氣體喊動。 圖13示出了製程氣體怎樣經由氣體擴散部件300A流動的原 理。但是圖13並非意在示出氣體引導孔称擴散通路规和 擴散孔332A的特定設置_,圖14至16分暇沿著線Α·Α、 Μ和C-C的圖12的各截面圖,通過圖14至ΐ6可理解氣體引導 孔326Α、擴散稱316Α和擴散孔皿的特找置關係。 如圖13中所示,經由氣體入口 21〇提供的製程氣體經由氣體 引導孔326Α以及氣體擴散部件遍上表面流人。如圖η和μ 中所示’經由氣則導孔326Α上職人的製賴翻著連接氣體 引導孔326Α底部的環形擴散通路316Α移動。 如圖!3和15中所示,如上所述已經到達擴散通路316八的製 程氣體最初暫留在被擴散板3肅擋住的擴散通路3ΐ6Α, 更均勻的擴散。 這種情況下,如圖13和15中所示,擴散通路316Α可具有向 著下側變寬的矩形形狀截面。擴散通路316Α {通過例如圍繞氣體 擴散部件300Α的中心的梯形截面的旋轉和對稱而形__元 件’由於錢面面積向著底部增加,因此能降低流人的製程氣體 机速,因此通過該擴散通路316Α可更加均勻地擴散該製程氣體。 之後’如圖13和16中所示,可經由擴散孔332Α將擴散通路 a 18 201230153 3·内相製程氣體排放向氣體擴散部件3〇OA T側,該擴散孔 332Α的數量大於氣體引導孔遍,且擴散孔遍形成為= 體引導孔326Α的中心。 、 迈種情況下’如圖13和16中所示,擴散孔322八的上端截面 積可向著上側增大,擴散孔322Α的下端截面積可向著下侧增大。 通過具有其巾擴散孔322Α的截面積從上向下逐漸減小又逐漸增 大的研蛛職(m_ shape),自擴散通路316Α流人的製程氣體 Τ、~著擴散孔332Α内壁穩疋流動’因此可最小化在製程氣體内 部形成的渦流。 在另一實施例中,如圖17中所示,可使用包括矩形板狀的支 撐板310Β和形成在支撐板310Β上表面上的錐形突出部件32〇β 的氣體擴散部件。此處’支撐板3_的每個橫向側面3ΐ2Β 都面對製程室100的每個拐角1〇2。 通過使用上述氣體擴散部件300Β,如圖18中所示,經由氣 體入口 21G提供的製程氣體最初沿著錐形突出部件3趣的橫向側 面在全部四個方向上均勻流下(descend),之後沿著支撐板3ι〇β 的上表面移動。此處,由於支撐板31GB的上表面用作製程氣體移 動的阻擋,因此製程氣體在支撐板310B的距中心相對較短(即較 少阻擋)的橫向側面312B方向上平穩流動,而在支撐板31〇B的 距中心相對較遠(即,較多阻擋)的拐角314B方向上不會平穩流 動0 此處,如圖18中所示,通過將第一耦合部件25〇設置在自錐 形突出部件320B的中心經過支撐板310B的拐角314B的線性路 201230153 的阻擋,從而允許 的方向上更加平穩 徑上’第-齡部件25G可用作製程氣體移動 製程氣體可以在支撐板310B的橫向侧面312b 地流動。
擴散部件300C 與之前描述的實施例相似,該氣體擴散部件3〇〇c中可具有氣 體導孔326C和擴散通路’且其巾形成了擴散孔的擴散板可安裝 在軋體擴散部件300C下表面上的接收溝槽中。 儘管已經描述了本發明的某些優選實施例,但是應當理解, 本發明所屬領域技術人貞在不脫縣發_技術理念和範圍的情 況下可實現本發明的各種改進和排列變化。 應當理解,在本發明的請求項範圍内,除了上述實施例外還 存在报多其他實施例。 【圖式簡單說明】 圖1示出了根據常規技術的PECVD裝置。 圖2示出了根據常規技術的喷頭的翹曲現象。 圖3是根據本發明實施例的用於化學氣相沉積的裝置的截面 圖4是由圖3中“A”部分的截面放大圖。 圖5是由圖3中“B”部分的截面放大圖。 圖6是其中形成有長孔的喷頭的頂視圖。 20 201230153 圖7示出了根據常規技術經由氣體人口在真空容器中流動的 製各氣體怎樣在PECVD裝置中擴散。 圖8是示出根據本發明實施例的氣體擴散部件的透視圖。 圖9示出了經由氣體人口在真空容器中流動的製程氣體怎樣 在其中應用頂8的氣體擴散部件的PECVD裝置中擴散。 圖1〇是/據本發明實施_氣職散部件_視圖。 π*7^根據本發明實酬的去除了擴散板的氣體擴散 部件的底視圖。 ㈣是根據本發明實施例的氣體擴散部件的底視圖。 圖13不出了根據本發明實施例製程氣體怎樣流過氣體擴散 圖Η疋沿著線Α_Α的圖1〇的截面圖。 圖15是沿著線站的ffllG的截面圖。 圖16是沿著線的截面圖。 •疋不出根據本發明另一實施例的氣體擴散部件的透視 樣在其中了經域體人°在真空容11中流動的製程幻 八Θ 17的氣體擴散部件的PECVD裝置中攄# 圖。 ^根據本發明再—實施例的氣體擴散部件的: 21 201230153 【主要元件符號說明】 100 製程室 110 室主體 120 上蓋 150 反應空間 160 絕緣體 170 絕緣體 200 背板 210 氣體入口 220 緩衝空間 230 膨脹空穴 250 第一耦合部件 300 氣體擴散部件 300A, 300B, 300C 氣體擴散部件 400 喷頭 410 喷灑孔 450 第二耦合部件 460 長孔 500 基座 510 加熱器 520 升降裝置
22 S 201230153 600 夾持部件 610 水準部分 620 垂直部分 650 第三搞合部件 670 第四耦合部件 700 熱阻部件 800 基板 900 基板 23

Claims (1)

  1. 201230153 七、申請專利範圍·· 1. 一種用於化學氣相沉積的裝置,包括: 製程至,該製程室被構造成界定反應空間; 一奇板’該背板被設置在反應空間上方,且在該背板的中 間具有氣體入口; -氣體擴散部件,職體擴散部件被設置在紐入口下方 且與該氣體入口分離,該氣體擴散部件通過第—輕合 到背板,和該氣體擴散部件被配置成擴散經由氣體二提㈣ 製程氣體; 一喷頭,該喷麵設置㈣板和氣體擴鱗件下方且 背板和氣體擴散部件分離,該喷頭的中間部分 w 縁到_散料,且物中㈣咐㈣^部 基座,該基座被設置在噴頭下方且細麵 基座支撲基板; 離’且該 其中該氣體擴散部件具有形成社 的製崎 下側’該多·體料孔_透麵:政部件的 2·如請求項1所述用於化學氣相沉積的裳置^娜。 導孔以規則間隔沿著圍繞氣體 ^ ’其中該多個氣體引 置。 *的中心的圓的圓周設 24 201230153 3.如請求項丨所述用於化學 件包括: 、/ 、、、置,其中該氣體擴散部 一支撐板;和 -形成在該支撐板的上表面上攸出 《料麵3所_於化學氣她積 形成在該突出部件中。 夏其中該亂體引導孔 5. 如請求項1所述用於化 部件中形成有連接’帛部' 置’其巾在該氣體擴散 4有連騎讀料孔的 6. 如請求項5所·於化學氣相峨 有向著下侧變寬的矩形形峡面。 其中該擴散通路具 7. 如請求項6所述用於化學 體擴散部件的下表面上的‘包括安裝在該氣 在該擴散板中形成有多個擴散孔,該轰該紐通路,其中 氣體引導孔的中心。 "夕個擴散孔的中心偏離該 〜求項7所朗於化學氣彳目 量大於該氣體引導孔的數量。 H ’其中該擴散孔的數 9.如請摘7所顧於化學氣知積的 以規則間隔沿著圍繞氣體擴散 〃中該多個擴散孔 -如請求項7所述用於化學氣相:積的圓周設置。 部件的下表面上形成有接褒置,其中在該氣體擴散 散板。 /㈢,在該接收溝槽中接收有該擴 201230153 11. 如請求項7所述用於化學 端截面積向著擴散孔的上;=積_置’其中該擴散孔的上 12. 如請求項7所述用於化學 端截面積向著擴散孔的下側増^的裝置’其中該擴散孔的下 13. 如請求項3所述用於化學 向側面向著糊崎4’㈣嫩的橫 14·如請求項3所述_化學氣相沉積崎置,其中: 該製程室具有六面體形狀. 該支樓板為盤狀; 該突出部件具有直角錐形狀;和 贼靖嶋_她物室的拐角。 如請求項14所述用於化學氣相沉積的裝置,其W 部件設置在自該突㈣件的巾心穿·突出部件的拐角的線 性路徑上。 16.如請求項3所述用於化學氣相沉積的裝置,其中: 和 該製程室具有六面體形狀 該支撐板具有矩形板形狀 該突出部件具有圓錐形狀 該支撐板的橫向側面分別面對製程室的拐角。 Π.如請求項16所述用於化學氣相沉積的裝置,其中該第一搞合 部件設置在自職出部_巾心穿支#板的拐角的線性 S 26 201230153 路徑上 18.如請求項]所述用於化 件和第二_的至少::置,其中該第,合部 19.如請求項】所述用 兮+心 Μ相沉積的裳置,還包括夹持⑽ 該央持部件通過第三耦合 失㈣牛, 緣,並且該夹持部件設置在二;^’以便支撐喷頭的邊 和該噴頭之間具有預定間隔Μ側邊上,在該夹持部件 20. 如請求項19所述用於化學氣相沉 該熱阻部件插a賴鱗部 ^ ’拉域1部件’ 侧與背板的下表面接觸,触阻部件=’域熱阻部件的- 接觸。 〜卩件的另一側與嘴頭的上表面 21. 如請求項19所述用於化學氣相沉積魏置,盆中. 面的水準部分和用 該夾持部件包括用於支撐喷頭的下表、 於支撲喷頭的橫向表面的垂直部分;和 分::=邊緣的,形成有—_水準部 ::。所述〜該㈣件 23. 如請求項22所述用於化學氣相沉積 由銘或銘合金製成。 、、置,其中該熱阻部件 24. 如請求項21所述用於化學氣相沉積的敦置,其中在該嘴頭的 27 201230153 ^緣切成有細形長孔,和還包括第四轉合部件,該第四麵 。部件通過穿透該夾持部件的水準部分而插人到長孔中。 仏如請求項24所述用於化學氣相沉積的裝置,其中 該噴頭具有矩形板形狀;和 每::―長孔和第,合部件被設置在喷頭的 26. :=5所述用於化學氣相_的裝置,其中該_ a藉被成對地設置在該噴頭的每個__上。 27. 如請求項於化學動目 * 賴裝置,其中在該背板的下 W刀形成有截面積大於氣體人 视^ /1、μ v 叫脹空穴,且該氣體擴散 轉的至部分被設置在鱗脹空穴内部。 28. 如請求項1所述用於化學氣相 部安裝有加熱導線。 、、、,,、中在該基座的内 29. 如請求項1所述用於化學氣相沉積的 鋁合金製成。 ,、丫通赁頭由鈷汊 S 28
TW100133860A 2011-01-11 2011-09-21 用於化學氣相沉積的裝置 TWI514445B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110002779A KR101306315B1 (ko) 2011-01-11 2011-01-11 화학기상증착 장치

Publications (2)

Publication Number Publication Date
TW201230153A true TW201230153A (en) 2012-07-16
TWI514445B TWI514445B (zh) 2015-12-21

Family

ID=46454266

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100133860A TWI514445B (zh) 2011-01-11 2011-09-21 用於化學氣相沉積的裝置

Country Status (4)

Country Link
US (1) US8980006B2 (zh)
KR (1) KR101306315B1 (zh)
CN (1) CN102586755B (zh)
TW (1) TWI514445B (zh)

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9410248B2 (en) * 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US20140030056A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc. Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103774115B (zh) * 2012-10-17 2017-12-29 理想能源设备(上海)有限公司 化学气相沉积装置
US20160194753A1 (en) * 2012-12-27 2016-07-07 Showa Denko K.K. SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM
KR101979006B1 (ko) * 2012-12-28 2019-05-16 엘지디스플레이 주식회사 박막처리장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
USD756502S1 (en) 2013-07-23 2016-05-17 Applied Materials, Inc. Gas diffuser assembly
CN104342751B (zh) * 2013-08-02 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔和mocvd设备
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102328916B1 (ko) * 2014-08-18 2021-11-18 엘지디스플레이 주식회사 기판 처리 장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR101990542B1 (ko) * 2017-06-02 2019-06-18 주식회사 트리셀 기판 지지부재용 볼 부싱
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
CN108195607A (zh) * 2018-02-02 2018-06-22 中国科学院西安光学精密机械研究所 一种火星表面环境模拟试验装置及方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242817A1 (en) * 2019-05-30 2020-12-03 Applied Materials, Inc. Atomic layer deposition reactor design for uniform flow distribution
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110512184B (zh) * 2019-09-29 2021-10-22 京东方科技集团股份有限公司 基板夹持装置及蒸镀设备
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111394714A (zh) * 2020-04-21 2020-07-10 重庆臻宝实业有限公司 化学沉积气体布散结构及其装置
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023183009A1 (en) * 2022-03-25 2023-09-28 Lam Research Corporation Showerhead assembly and substrate processing systems for improving deposition thickness uniformity
KR102495928B1 (ko) * 2022-08-17 2023-02-06 배두환 반도체 박막증착장치용 샤워헤드
CN116791065B (zh) * 2023-07-03 2024-06-14 拓荆科技(上海)有限公司 一种扩散构件和半导体工艺设备

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus

Also Published As

Publication number Publication date
KR20120081437A (ko) 2012-07-19
CN102586755B (zh) 2015-03-04
CN102586755A (zh) 2012-07-18
US20120174866A1 (en) 2012-07-12
KR101306315B1 (ko) 2013-09-09
US8980006B2 (en) 2015-03-17
TWI514445B (zh) 2015-12-21

Similar Documents

Publication Publication Date Title
TW201230153A (en) Apparatus for chemical vapor deposition
JP7136945B2 (ja) エピタキシャル成長装置用のチャンバ構成要素
TWI425109B (zh) 化學氣相沉積裝置
TW466541B (en) Wafer pedestal with a purge ring
JP5602903B2 (ja) エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
KR20180054366A (ko) 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP5386046B1 (ja) サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
TW484188B (en) Plasma CVD film forming device
US20050145170A1 (en) Substrate processing apparatus and cleaning method therefor
TW201009112A (en) Gas distribution plate and substrate treating apparatus including the same
TW201134314A (en) Showerhead assembly for plasma processing chamber
TW201027599A (en) MOCVD reactor having cylindrical gas inlet element
JPH0855842A (ja) 裏面を被覆したサセプタを有する半導体ウエ−ハ処理チャンバ
WO2013123859A1 (zh) 石墨盘、具有上述石墨盘的反应腔室和对衬底的加热方法
KR20120066643A (ko) Cvd 방법 및 cvd 반응기
JP5921754B2 (ja) 蒸着法によって半導体ウエハ上に層を堆積させる装置
US20050081788A1 (en) Device for depositing thin layers on a substrate
TW200305658A (en) Device for depositing thin layers on a substrate
JP2022502845A (ja) ガス分配アセンブリおよびその動作
JP2014179582A (ja) エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
JP2014179581A (ja) エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
JP2017224850A (ja) エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료
JPH1041286A (ja) プラズマcvd装置
JPS60236216A (ja) 気相成長装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees