TW201216324A - Method for forming mask pattern and method for manufacturing semiconductor - Google Patents

Method for forming mask pattern and method for manufacturing semiconductor Download PDF

Info

Publication number
TW201216324A
TW201216324A TW100111020A TW100111020A TW201216324A TW 201216324 A TW201216324 A TW 201216324A TW 100111020 A TW100111020 A TW 100111020A TW 100111020 A TW100111020 A TW 100111020A TW 201216324 A TW201216324 A TW 201216324A
Authority
TW
Taiwan
Prior art keywords
film
line portion
line
mask
pattern
Prior art date
Application number
TW100111020A
Other languages
English (en)
Other versions
TWI450317B (zh
Inventor
Hidetami Yaegashi
Yoshiki Igarashi
Kazuki Narishige
Takahito Mukawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201216324A publication Critical patent/TW201216324A/zh
Application granted granted Critical
Publication of TWI450317B publication Critical patent/TWI450317B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Description

201216324 . 六、發明說明: 【發明所屬之技術領域】 、 本發明係關於一種光罩圖案之形成方法及半導體裝置之製造 方法。 【先前技術】 、隨著半導體元件之高密集化,製程所要求之配線或分離帶區 域之尺寸有微型化的傾向。此一微細圖案係為,由光阻膜所構成 之線部以既定之間隔配列關案以光微影技術形成,藉著將形成 的圖案作為光罩圖案使用並I虫刻被触刻膜而形成。最近的半導體 元件之微型化,來到要求光微影技術之解析度極限以下尺寸之境 界。 形成具有光微影技術之解析度極限以下尺寸的微細光罩圖幸 之方法,為所謂的雙重圖案化法。雙重圖案化 5圖J化.第1圖案形成步驟、及此一第丨圖案形成步驟後所施 】丁之2圖案形成步驟。雙重圖案化法,藉由此一 2階段的圖案 二Hft1回之圖案化形成光罩圖案之情況,具有更微細的 線寬及間隔寬之光罩圖案。 線部作為雙重随化法之—6習知有:藉由將成為芯料之 其兩側所形成之側壁部作為光罩使用的swp⑶de w沾 ^termng)法,形成較成為芯料之原本的線 微細的配列間隔之光罩圖案的方法。此—方法%==有更 二:形成線部配列之光阻圖案’之後,形成氧化矽膜等,使、J 之表面被等向地被覆。之後’回钱並使氧化结: =面的_,其後,去除線部,殘留之側=== 光罩圖案(參考例如專利文獻1)。如此,形成呈有光二=膜為 析度極限以下尺寸的微細光罩圖案。 、a办技術之解 [習知技術文獻] [專利文獻1]日本特開2009-99938號公報 201216324 【發明内容】 [發明所欲解決的問題] 限:寸合手法=,^^ 夕光翔案之形獻法,魏化頻成_,或回㈣腊 嘴因:=,成芯料之光阻膜所形成的線部容易曝露於電 ’其結果,造成線部之側壁的平坦性劣化,或線部 μί Γ 斜、倒塌之疑慮。因無論於任-場 部之先罝白無法均""並精度良好地形成’故以包含側壁 二罩進行下層之蘭時’無法使由_形: 半導:,ϊγ提供—種光罩圖案之形成方法及 下,膝二、之^方法’由sw之手法形成微細光罩圖案之情況 防止由光阻成膜時、及_氣化石夕膜時,可 [解決問題之技術手段] 依本舍明之一貫施例,提供之光罩圖案之开^成方法且;~r ίΞ所;^圖ί形成f驟’藉由將基板上^著防反射臈形成的光 节先謹^部作為光罩而朗該防反綱,形成包含由 ΐ = 反Ϊ膜構成之第2線部的圖案;照射步驟,於該 令昭射子,氧切膜成膜步驟,於該苐1圖案形成步驟及 回^;ϋ 將氧姆膜成膜,使其等向地被覆該第2線部; 去r ^ t =§亥氧化石夕臈,將該氧化石夕膜自該第2線部之上部 步驟,於該醜步驟後’藉由將該第。灰化,^成St 201216324 . 氧化石夕膜構成、作為該側壁部殘留 之第3線部的光罩圖案 [發明之软果] 依本發明,以swp之 =成側壁部之氧切膜成料、^ n兄下’於將 光阻膜構成之芯料變形。 口蝕δ亥虱化矽膜時,可防止由 【實施方式】 (H實實施形態與附圖-同進行說明。 首先’參考圖1與圖2,斟 圖案之形成方法及半導H裝置1實施形態的光罩 的賴處ί!裝置加以說明。之k方法的貫施之’本實施形態 容器)10。腔室10接地。’’鋼荨之至屬&的圓筒型腔室(處理 此-基座12 ,由例她 座下部電極水平地配置。 伸之絕緣性筒狀支持部14所支f "腔+室10士底部往上方垂直延 周,形成自腔室ω其底部往上=直;^部 壁部)16、及於腔室10之側壁f欧同狀支持邛(内 〇22" 5 18 · 26具有渦輪分子“二:‘:與二^二目連广非氣叢置 至期望喊μ。自,可將腔室1G内之處理空間排氣 口的閘^2、8^工至之側壁,安裝有開閉晶圓%之搬出入 雷配器&及下部供電棒36與高頻率電源30 電性連接。尚鮮電源3〇輸出高頻率電力。此—高頻率電力,包 201216324 ίΐΐϊ基座12上之晶圓W之離子引入的頻率數(通常為 電極配器,匹配高頻率電源3G與負荷(主要為 水月工至)間之阻抗,並可自動地修正匹配阻抗。 座i2載置處理縣之晶®w。基座12具有較晶圓w之直 ί rn 。此外,基座12上,設有包圍基座12上所載置之 日曰圓w的對焦環(修正環)38。 +基座12之頂面設有晶圓吸附用之靜電吸盤40。靜電吸盤40, =膜狀或板狀之介電材料中夾入薄片狀或網格狀的導電體。此一 ^體:將腔室1()外所配置之直流電源π介由開關Μ及供電線 性連接。藉著由直流電源42施加之直流電壓,可將晶圓w 以庫侖力吸附保持於靜電吸盤4〇上。 基座12,设有溫度分布調整部120。溫度分布調整部12〇具 有加熱器121a、121b、加熱器用電源122a、122b、溫度計123a、 123b、及冷媒流路124a、124b。 ,座12之内部,於中心區域設有中心側加熱器丨2ia,中心侧 加熱器121a之外側設有外周側加熱器121b。中心侧加熱器ma, 與中心側加熱器用電源122a相連接;外周側加熱器乜比,與外周 侧加熱器用電源122b相連接。中心側加熱器用電源122a及外周 側加熱器用電源122b ’藉由獨立調節分別供給至中心側加熱器 121a及外周侧加熱器12ib的電力,可於基座12沿著半徑方向產 生期望之溫度分布。藉此,可於晶圓w沿著半徑方向產生期望之 溫度分布。 此外’基座12之内部’設有中心側溫度計123a及外周側溫 度計123b °中心侧溫度計123a及外周侧溫度計i23b,量測基座 12之中心區域及外周區域的溫度,藉此可導出晶圓w之中心區域 及外周區域的溫度。顯示中心侧溫度計123a及外周側溫度計123b 所量測到之溫度的訊號,被送往溫度控制部丨27。溫度控制部127, 調整中心侧加熱器用電源122a及外周側加熱器用電源122b之輸 出’使自量測到之溫度導出的晶圓W之溫度成為目標溫度。此外, 溫度控制部127與後述之控制部130相連接。 201216324 ' 進一步,基座12之内部,於中心區域設有中心側冷媒流路 124a,於中心側冷媒流路124a之外側設有外周側冷媒流路12牝。 f以未圖不之冷卻單元,分別循環供給不同溫度的冷媒。具體而 δ,冷媒係自中心側導入管125a導入至中心側冷媒流路12如,於 中心側^媒流路124a循環後,自中心側冷媒流路12如通過中心 側排出管126a排出。此外,冷媒自外周側導入管12沁導入至外 周側冷媒流路124b,於外周側冷媒流路124b循環後,自外周側冷 媒流路124b通過外周側排出管126b排出。可使用例如冷卻水、 .氟碳化物系之液體等作為冷媒。 、基座I2,由中心側加熱器121a與外周側加熱器12ib之加熱、 以及由來自冷媒之冷卻,加以調整溫度。因此,晶圓w,包含來 自電激之輻射或電漿所含離子之照射等的加熱部分,藉由盥基座 12之熱量的交換,調整使其達到既定之溫度。此外,本實施形態 中,基座12於中心區域具有中心加熱器121a與中心側冷媒流路 124a,於其等之外側具有外周加熱器12比及外周側冷媒流路 124b。因此,晶圓w,可於中心側與外周側獨立地調整溫度,可 调整晶圓W之面内的溫度分布。 此外,本實施形態中,為更提高晶圓w之溫度分布的精度, ,來自未圖示之傳熱氣體供給部的傳熱氣體,例如He氣體,通過 氣體供給管54及基座12内部之氣體通路56供給至靜電吸盤4〇 與晶圓W間、 ,腔室10之頂相月,設有與基座12平行地互相朝向之兼作沖淋 頭的上部電極60。上部電極(沖淋頭)6〇具有與基座12互相朝向之 ,極板62、以及可將電極才反62自其背後(上)裝卸而支撐的電極支 ,體64。此外’電極支持體料之内部設有氣體擴散室66。電極 支持體64及電極板62,形成有與氣體擴散室66及腔室1〇之内部 空間連通之複數的氣體吐出孔68。電極板62與基座12間之空間 幵生4空間或處理空間PS。氣ft擴散室66,介由氣體供給 官70與處理氣體供給部72相連接。 上部電極60之電極板62,因處理時曝露於電漿,故宜由即便 201216324 ,加,_,故錄其對直流電流騎咖、mc 此一材料,例如有Si、Sic等之Si包含導電材或 卞^ 極支持體64,例如為經鋁氧化處理之鋁所構成亦 ^ ^電 隔著上部電極60與腔室10間之環狀絕緣體65安裝於蕻 絕緣體65 ’上部電極60自腔室10電性浮接。、、1 藉 電極⑼,介由匹配器%及上部供電棒78與高頻率電源 40MHz以上)的咼頻率電力。匹配器76,匹 a又置於腔室1〇外之可變直流電源8〇的 — 82及直流供電線84與上部電極6〇電性連接:可電Π關 可輸出例如-2000〜+ 1000V之直流電壓Vdc。支直机電源80, 置於直流供電線84之途巾喊波電路86,容許來自可變亩 ίΠ直it電壓&通過遽波電路%,施加於上部電極6〇 !2之巧貞W it Λ6可將高頻率導往接地線。是故,^自基座 12之间頻率,幾乎沒有經由處理空間ps、上+曰^ 供電線84而往可變直流電源8〇流動之情況。 直^ 等的導電性材料戶^ 的位置。例如,頂面,可設於面對處理空間ps 部附近或上部電㈣〇之半徑方向設於筒狀支持部16之頂 3。、==内产= 圖示之冷卻單元、未圖处夕二ft、給部72、可變直流電源80、未 全體的運作(順序),以:^:^=^_別運作與裝置. 如圖2所示,控制^ =成之控制部GO所控制。 別4 130具有介由匯流排15〇連接之處理器
S 8 201216324 * #己憶體(RAM)154、程式儲存裝置_)⑼、軟性磁 f兹碟機(DRV)158、鍵盤或滑鼠等之輸入元件 置_62、網路·介__、以及周邊 等之’自裝入磁碟機(DRV)158之軟性磁碟或光碟 ί if ίϊ,讀取㈣程式的程式碼,儲存於酬56。抑 之演算處理。而處理H(CPU)152,i 各步驟,細必要 部’特別是職高二^介二(74):==二 电水處理裝置100中,某座】9 μ +曰向 f ί理氣趙供給部72狀二 腔室10 内二 電漿生成用之第i高頻率(4〇MH;;=f 78自高頻率電源74將 拄人丄 手1 Z以上)施加於上部電極60的同 用丄源3°將離子引入 此一電襞生成之自由基或離子被钱刻為期望的圖^加工膜错由以 頻率刻’由高頻率電源74施加第1高頻率,今第1高 部電極60生成電聚之40赃以上(更^ 密度。與其同時,於基座12施加第仍可,高 為適合離子引入之出6廳以下的比較上之低頻率數弟= 頻可 201216324 實^對晶圓被^工膜的選擇性高之非等向性侧。此外,雖 電聚生成用之第1高頻率,無論於何種電篥製程中皆, 但離子引入用之第2高頻率,視製程而有不使用之情況。 更於施行電製蚀刻時,於上部電極6〇施加來自 =之直流電壓(通常為—900V〜〇v之範圍内)。藉此,亦 水點燃安定性、光阻劑選擇性、蝕刻速度、蝕刻均一性等。 其次,參考圖3至圖6,對本實施形態的光罩圖案之形法 及半導體裝置之製造方法加以說明。 首先,施行疊層步驟Sn。疊層步驟S11如圖々八⑻所示, t^石夕基板構成之晶圓W上’堆叠絕緣膜出、被糊膜ιΐ2、 光罩膜113、防反射膜114及光阻膜us。 被侧膜112係為,包含本實施形態之光罩圖案形成方法 半導體裝置之製造方法中,最終應姓刻加工之膜。絕緣膜⑴為, =作為閘極絕緣膜作用之例如以τ·(四乙氧基雜)為原料的 氧化石夕你02)膜;被侧膜112可為,例如於 極作用之以賴。崎,可倾_膜112之厚度為21電 ,罩膜113,於银刻作為下層之膜的被侧膜112冑,作 ^作,。光罩膜113,轉印有由於氧化石夕膜成膜步驟S15(後述) >成之氧化矽膜116所構成的第3線部n6a之圖案。此外, J Π3,於侧加讀侧膜m時,宜對被關膜m具有高選 、击L1亦即’宜使被钮刻膜112之敍刻速度對光罩膜113之侧 逮:的比為大。作為光罩膜113,可使用例如SiN膜、8趟膜 之無機膜。此外,可使光罩膜113之厚度為例如26nm。、 M 膜114,作為將形成於其上之光阻膜115曝光時的底部
Anti_Refleetive c〇ating,barc)而作用。作為防反 =4 ’可使用例如被稱為有機崎之由CxHa構 專。此外,可使防反射膜114之厚度為例如3〇nm。 、=且,115 ’於晶圓W上隔著防反射膜114而形成。光阻膜 曝光、顯影,提供之後成為swp之怒料的第丨 ⑸。 作為光_ Π5,可使關如AfF光_。此外 201216324 - 之厚度為例如lOOnm。 其次,施行光微影步驟S12。光微影 使用光微影技術,形成由光阻膜115 圖4A⑻所示, 具體而言,防反射膜114上所形成 。 定之圖案的光軍(未圖示)而曝光、顯影,膜具有既 Π5構成之第1線部115a的圖案。第成包含由光阻膜 膜114時’作為光罩而作用。帛1線部u/a,刻防反射 寬S1,以間隔D1(=L1+S1)配列。線寬n沿二有、,泉寬L1及間隔 別限定,可合計為例如6〇nm。、 及間隔寬S1雖並無特 此外’線部為’於平面上延著第】古 接之同種的構造體,沿著與第!方向造體,自鄰 離配列。線寬係為,延著線部之第2方距 沿著鄰接之2個線部間的間隙在第2方向的為, 列之=為,—個線部中心、和與其鄰接之線部[離U配 其次,施行光罩圖案形成步驟S13〜S18 n 成步驟S13,於晶圓照射電漿w,以晶圓w上隔J 匕二 構^之I1線部收作為光罩侧防反射膜 部成包含由光阻膜115與防反射膜114構成之第2線 時,ί二^ f Ϊ形成步驟犯中,於_防反射膜114的同 官n、^線部115a,藉以形成具有較第1線部115a其線 =泉主寬U的第2線部114(圖4A(C))。以下,對本實施 時施行第1線部115&之修整的情況加以具體說明。 部72以^ Π成步驟S13,自電聚處理裝置100之處理氣體供給 ί 26 2d 的處理氣體往腔室1〇内導入’藉排氣裝 m 内之壓力至設定値。之後,介由匹配器%及上 ϋ棒78 .自高頻率電源74將電漿生成用之第1高頻率(40MHz 以·加於上部電極6〇。此外,使開關44為開,藉由靜電吸力, =f圓W吸附於靜電吸盤4〇。藉此,將傳熱氣體(He氣體)封入晶 圓w與靜電吸盤40間之接觸界面。由上部電極6〇之氣體吐出孔 201216324 理乳體’藉由兩電極12、6〇間施加之高頻率於處理空 CH H案,步驟S13 ’可使用例如以⑶4、呢、CHF3、 3 2 2寺之CF系氣體與Ar氣等之混合氣體、或因廡要 d =混口氣體添加氧氣之氣體等,作為處理氣體。/ ^ 作為3使理氣體,以由光阻膜115構成之第1線部115a fi防反射膜114的同時,亦修整第1線部收自體。 膜115與防反射膜114構成,具有較第1線 ]L ϋ ⑻)更小之線寬叫圖4A(C))的第2線部 1Ί,亦H ’第1線部115a之線寬L1及間隔寬si、與第2線部 \14a之線寬L2及間隔寬S2的大小義為,L2<U、S2>S1。L2 ” S2之値並無特別限定,例如可使u為3〇細、幻為如聰。 古士 Ϊ Ϊ 自可變直流電源⑽於上部電極6G施加高電壓之負的 ^训電辽VDC,則上部電極6〇與電漿pR間所 二電壓VU成為與直流電壓略等之大小。‘: =中之離子⑴,於上部離子鞘SHu之電場受到加速,而變成具 ΐ ϋ子以大的衝擊能往上部電極60_亟板62)衝 ^則自電極板62放出更為數幕多之2次電子e—。自電極板62 ^之2次電子e-,於上部離子勒SHu之電場以與離子相反之方 向加速而通過電漿PR ’更跨越下部離子鞘啦,於基座U上的 【曰圓…之表面以巨大的能量植入。亦即’以電子照射由晶圓|其 ^面^光阻膜115構成的第i線部115a。藉由電子之照射,触 第1線部115a的光阻劑其高分子吸收電子之能量,引起 化、構造變化、交聯反應等。藉此,使第i線部u5a改質。 此時,2次電子e—,雖於電漿叹之中以等速度通過,但下部 離子勒shl之護皮電壓Vl(或自偏電壓)越低越好,通常宜為ι〇〇ν :下。因此,施加於基座12之第2高頻率(13.56MHz)的功率可選 疋為50W以下’更宜使其為〇w。 此外由圖5所*之原理,施加於上部電極6〇之負的直流電壓 vDC其絕對值越大,可使植人晶圓w上由光阻膜丨15所構成之第 12 201216324 1線部115a的電子其能量越大。其結果,可使晶圓w上由光阻膜 115構成之第1線部115a的電子其侵入深度,即改質深度為大。 一般而言,電子植入光阻劑時之電子能量與電子侵入深度, 理論上地被認知為如圖6所示之略比例關係。依此一理論,電子 能量為600eV時之侵入深度為約3〇nm ;電子能量為〗000eV時之 侵入深度為约50nm ;電子能量為〗500eV時之侵入深度為約 120nm 〇 然而,第1圖案形成步驟S13中,若施加於上部電極60之負 極性直流電壓vDC的絕對值不甚大,則形成防反射膜114被電漿 過度I虫刻之情开>。因此,施加於上部電極之負極性直流電壓 VDC的絕對值,宜為既定之絕對值VAB以下。具體而言,可使^ 定之絕對值VAB為例如600V。而可使負極性直流電壓·yDc之絕掛 值為例如600V。 ' 此外,第1圖案形成步驟S13中,調整基座12所支撐的晶圓 W其面内之溫度分布亦可。藉由此—調整,可如同後述,曰 圓W其面内之第2線部114a的線寬L2之分布。 卫曰曰 其次,施行照射步驟S14。照射步驟S14,如圖4B(d) 以電子照射由光阻膜115及防反射膜114構成之第2線 照射步驟SM亦與第1圖案形成步驟S13相同,理 供給部72以適當流量將既定的處理氣體導入腔室二 裝置26調節腔室1〇内之壓力至設定値。之後,介由匹配^ 1
上部供電棒78自高頻率電源74將電漿生成用之第丨言I (40MHz以上)施加於上部電極6〇。由上部電極6〇之斗屮 ⑼吐出的處理氣體,藉由兩電極12、6〇間施加頻五出| 間PS電漿化。 门两午孓慝理空 然而’照射步驟SH,並非為蝕刻而施行 形成步細中形成之第2線部114a改質而施行 例如具有域·力A的處魏體,例如CF 代 cha等之CF彡氣體,使料有讀龍力小 氫(¾)氣,與Ar氣等之混合氣體等作為處理氣體=I體’例如 201216324 ^由使用上述處理氣體, 丄 防反射膜m構成之第2線部114/的=^幾=阻膜m與 照射步驟S14亦與第】圖案形成 ^手未變化。 電源80以負極性之高麗於上部電極亩、^自可變直流 漿PR中之離子於上Λ σ直机電壓VDC,則電 帽極板電極 次電子e~變多。之後,自電極板62放曰出之2 圓w表面的包含由光阻臈115與防反 電子…、射日日 114a的光阻膜115。照射牛驟由、、冓成之第2線部 光阻膜115巾的光阻劑_^八+以電子照射光阻膜115,則 化、爐、生傲π 子亦吸收電子之能量而引起紅成變 化=變化、父聯反應等。藉此,使第2線部u質成文 ^外’照射步驟S14,因使用具有之侧能力小的處^氣體, ί^之侧財無法贿,故亦可使施加於上部電極60之負極 !·直:ILf壓Vdc,絕對值較前述既定之絕對值v沾更大。具』而 δ,如刖述使既定之絕對值Vab為例如6〇時, 電壓VDC之輯值為例如9_。 τ使負極性直流 其次,施行氧化賴成膜步驟S15。氧化賴 Z卿)所示’將氧化石夕臈116成膜,使其等向地被覆^ϋ5部 此外,氧化矽膜116不限為Si〇2,亦可由與Si〇2膜其氧與矽 之組成比相異的SiOx、或具有包含卿及氧為主成分之其他組成 材料,形成。此外,氧化矽膜】16,亦可由氮氧化矽(Si〇N)形成。 氧化矽膜116之成膜,係於光阻膜115及防反射膜114作為 ^ 2線部114a而殘留之狀態下施行。因一般而言光阻膜115不耐 高溫,故宜於低溫(例如300°C以下程度)施行。作為氧化矽膜116 之成膜方法,可於低溫成膜者即可。本實施形態,可以低溫之分 子層沉積(Molecular Layer Deposition,以下以MLD稱之),即以低 溫MLD施行。其結果如圖4B(e)所示,於晶.圓W之全面成膜氧化 矽膜116,亦將氧化石夕膜116於第、2線部114a之側面成膜,使其
S 14 201216324 • 被覆第2線部114a之側面。使此日卑夕萆几η 則被覆第2線部114a之側面之氧化石夕膜⑽的厚度為D, 氧化石夕膜m的厚度D為=3= 频116其寬度亦為d。可使 氧切膜顧步·°以說明。 理二置之處 給予處理容H内’使獅料氧化之步驟。’Λ “氧乳體供 石夕原料氣體之供給喷嘴於既定之時 ,之)’ w由 使BTBAS吸附於晶圓w上。m·,。至處理4内。藉此, ^ W_L„ 頻率電源之賴生賴構㈣對b^m ^具備高
氣體供給喷嘴於既定之時間供給至處理容器;;為3 =體曰= 上所吸附之BTBAS氧化,形成氧化石夕膜116。舰使曰曰0W 此外’吸附步驟與氧化步驟間,為去 无定之時間施行將處理容器内真空排: ^體,處理谷器内之步驟(以下稱為沖洗步驟)。因此,^吸口牛 驟、沖洗舞、氧錄驟、財洗步驟之此—順 γ 洗氣體,可使用例如氮氣等之惰性氣體。然 為二 去除殘留於處理容器内之氣體即可。因此,沖洗 沖洗=(亦不供給原料氣體)而僅將處理容器内排氣至真空亦、可。。 此外,低溫MLD的氧化矽膜116之成膜,亦 以外的包含有機毅原料氣體。包含有機奴 有胺基魏她_。胺基魏⑽,_之例為 基石夕烧系刚驅物。1價或2價的胺基魏系前驅物之呈I、,女 BTBAS(雙叔丁胺基魏)、BDMAS(雙二甲胺基魏 二乙胺基石夕烧)、DPAS(二丙胺基魏)、BAS(丁胺基石夕烧)、及(又 15 201216324 DIPAS(二異丙胺基矽烷)。 物3^卜的=吏f t價的胺基石夕燒系前驅物作為胺基石夕炫系前驅 物3 <貝的月女基石夕院糸前驅物之例為,tdmas(三二甲胺齡 ㈣ίϋΪ了胺基魏系前驅物以外,可使用乙氧基魏系前 ^ 機氣體源。6氧基魏系前驅物之例為, 例如,TEOS(四乙氧基矽烧)。 η υ η ^二方面,除了 〇2氣體以外,可使用NO氣體、Ν2〇氣體、 體、03氣體作為含氧氣體,可將其等以高頻率電場電【化 用。藉诚用此—含氧氣體的電漿,可於賣。以下 此外’藉由更調整含氧氣體之氣體流量、 冋,率電源之電力、處理容器内之勤,可於1〇叱 行氧化矽膜的成膜。 A至皿施 施行回飯步驟S16。回蚀步驟S16中,回 石夕膜116自第2線部之上部去除,並如圖犯⑴所示, 使八作為第2線部114a之側壁部116a殘留。 回餘步驟S16,再度於電漿處理褒£ 1〇〇内,自處理氣勒維 邰72以適當流量將既定的處理氣 _置。 電源74將電漿生成用之第1高頻率(4_ p電極60。如此,則藉著自沖淋頭60吐出之處理 观豆;兩電極12、60間的高頻率因放電而解離•電離 ^ CF?fr 5 CF4'QF8'CHF3' ch3F ^ ci2 触、、二氣體'、Ar氣等之混合氣體、或因應必要於此-混合氣 體添加氧氣之氣體等,作為處理氣體。 ” .矣而使用上述處理氣體,將氧化頻116主要沿著與晶圓W “ Ιΐί之方向^打非等向侧。其結果,將氧化石夕膜116自第2 二的^之if去除’並僅使被覆第2線部⑽側面之作為側 116a殘留。此時’亦去除於第2線部n4a與鄰接於其之 =2線部ma兩者間的間隔部所形成之氧化石夕膜ιΐ6。’、 被側壁部服被覆側面之第2線部⑽,稱為側面被覆線部
S 16 201216324
St—S2’,* 故可使 其次,施行姓刻光罩膜113之蝕刻步驟sn。蝕刻 罩 =3與第2線部一側面被覆線部114b作為光 =電繼,藉此_電漿所生成之自由基或離子== ? ;H^7 ^: 體添加氧氣之氣體等,作為處理氣體。 要、此此&乳 ^:^反射膜^:二二成灰步::: ::案;第一步驟==^ 量將既定72以適當流 間藉由高頻率之放電賴化,藉此—’於兩電極12、60 子,片】由光阻膜ι15與防反射^ 3或六離 第2_成步祕可使用例如氣 201216324 混合氣體等,作為處理氣體。 成』?氣體’將由光阻膜115與防反射膜114構 t ’形成包含由氧化補116構成,作為側 2^116a殘留之第3線部116a的圖案。 第3線部116a,於蝕刻光罩膜113時,作 3之寬為U、間隔寬為S3、S3,,則第2線部⑽ 之線J L2 2 30nm、側壁部U6a之厚度D為—的情況,因 L3=D、S3=L2、S3,= S2,_u3M〇mn、SMS3,_m。 ,即’第3線部116a’具有線寬L3及間隔寬S3,以間隔D2(= ^S3)配列。此處,間隔D2=L3 + S3==6〇nm,為第2 _服 =隔D1=L1 + Sl = 120nm的-半。此外,第3線部116a之線 fL3及間隔寬S3 ’分別為第丨線部ma之線寬u及間隔寬si 的^半。亦即,本實施形態中,可形成包含以第2間隔D2(=6〇nm) 配列之第3線部116a的光罩圖案,該第2間隔為以第】間隔m卜 120nm)配列之第1線部lba其一半之間隔。 其-人,把行光罩膜敍刻步驊S19。光罩臈钮刻步驟S19,使用 第3線部l16a作為光罩’由照射於晶圓%之電編虫刻光單膜⑴。 藉此,如圖4C(h)所示,形成由光罩膜113構成之第4線部。 光罩膜蝕刻步驟S19,亦在自處理氣體供給部72以適當流 將既定的處理氣體導人腔室1G内,於上部電極6G施加電 用之第丄高頻率(40MHz以上)的同時,於基座12施加離子^引入 ^第2高頻率(13.56MHz)。供給之處理氣體,於兩電極12、仞 藉由高頻率之放電電漿化,藉此一電漿所生成之 曰 蝕刻光罩膜113。 .田土次離子’ 光罩膜蝕刻步驟S19,亦可使用例如CF4、C4F8、(:HF3、CH F、 CH#2等之CF系氣體與Ar氣等之混合氣體、或因應必▲於此3一' 混合氣體添加氧氣之氣體等,作為處理氣體。 〜 ' 藉由使用上述處理氣體,以氧化矽膜116構成之第3線部丨l6a ,為光罩,蝕刻光罩膜113。其結果,形成由光罩膜113' ° = 第3線部li6a的線寬略相等之第4線部113a。 ^
S 18 201216324 ㈣^施行被侧酿刻步驟S2Q。蝴·刻步驟S20, 之,將被侧膜112,以由光罩膜113構成 钕1、11°2 作ί光罩而侧,藉以如® 4C_示,形成由被 触衷j胰112構成之第5線部112a。 .破蝕刻膜蝕刻步驟S2〇,亦在自處理氣 、 iS?處:ΐ體導入腔室10内,於上部電極』施二: 用之第ί - 率40廳以上)的同時’於基座12施加離子引入 Ϊ Ϊί之放電電漿化’藉此—電漿所生成之自由基或離 子’蝕刻破蝕刻膜Π2。 CH Γ胸S2G,亦可使關如CF4、防、卿、 SI-、統體與々氣等之混合«、朗應必要 ;匕混口氧體添加氧氣之氣體等,作為處理氣體。 作為氣體,以由光罩膜113構成之第4線部咖 ί=”2。其結果,形成由被蝴膜112構成, ,^ ^ 116a及狀線部113a的線寬略相等之第5線部心。 圓刻步驟 =0,亦可調整基座12所支撐的晶 内度刀布。猎由此一調整,可如同後述,曰ill W其面内之第5線部112a的線寬[3之分布。域曰曰囫 其次,參考圖4B(f)與圖7,對本實施形態的 方法及半導體裝置之製造方法中,可於回钱氧化 阻膜構成的芯料變形之效果加以說明 :的牵 方法及半導體裝置之製造方法中,施ί至;== 止後的晶圓W其狀態之示意剖面圖。丁主口赖々驟S16為 L / / 之側面變得凹凸不平之傾向,LER(LineEdge 線部ma之側面的凹凸’自上方觀察第二二二=2 201216324 其曲折,LER與LWR更騎化的情況。 將此一由光阻膜115構成之笛〇自 =情況,於氧化矽膜成膜步驟^;魏二芯料 之表面有粗糙、或變形之情形。此外酿牛==].]¼ 化石夕膜116的情況,藉著去除第2線部114^上:回餘氧 Π6 > 2 ^ H4a ^ , 2 糙、或變形之情形。 1 U4a之表面有粗 例如,如圖7⑻所示,氧化石夕膜成膜步驟si5 ,之線寬與電毁反應使形成之L2s(<L2)變小二2部 3 « 116a 5 \}^ 116a 成具有期望形狀之第3線部U6a的疑慮。 有無法形 此外,例如、如圖7(b)所示,氧化砂膜成膜步驟s 步驟S16中’第2線部114a的上端側之線寬Ut,有變得^^ 側之線寬L2b更小之情形。此係為,第2線部 ^ = 越易曝露於電t之故。此時,側壁部116a無法於晶=為上=則 垂直形成,而變得交球逆方向傾斜’有無法 之第3線部116a的疑慮。 U /月望形狀 例如,更如圖7⑹所示,氧化矽膜成膜步驟S15或回 S16中,亦有第2線部114a之側面變得凹凸不平,側壁 f ’人 之側壁亦變得凹凸不平之情形。此時,由側壁部116 么 線部116a其前述的LER與LWR等惡化,有無法形 = 狀之第3線部116a的疑慮。 ,. 而一旦側壁部116a變形,則依序蝕刻以側壁部116&作 罩之下層的光罩層113、及被姓刻膜112時,其變形之形狀被 印。是故,蝕刻被蝕刻膜112而形成第5線部112a時,無法將 5線部112a精度良好地形成。 : 依本實施形態,使氧化矽膜116成膜前,藉由以電子照射 光阻膜115構成之第2線部114a ’預先使第2線部U4a改質。伟 一結果,因提升對電漿之耐受性,故氧化矽臈]16成臈後,回蚀吏 20 201216324 ===僅時,可防止芯料之第2線部 良好。時可使藉由細而形狀雜精度 艮好此外,可防止糟蝕刻而形成之圖案倒塌。 之並t ’ t實施形態,對第1圖案形成步驟S13及照射步驟S14 2力二然而,至施行至氧化石夕膜成膜步驟上為止改= 使第2線部114“質即可。因此,亦可於第1 ,案形成步驟.S13不照射電子,僅於 中照射電子之例,展示於圖8。圖以=實 ‘ 圖案之形成方法及半導體裝置之製造方法的其他例 子’况明各步驟之程序的流程圖。 宏私,ϋ ’施彳了第1圖案形成步驟S13,,以取代圖3的第1圖 广成^驟S13。第1圖案形成步驟如,不照射電子,藉由触刻 膜m,形成包含第2線部U4a之圖案。此外,第(圖丄 形成乂驟S13J以外之各步驟,與圖3的各步驟相同。 在此藉'由貫施貫施例丨、實施例2,與比較例丨比較,施行 皮主覆側面的第2線部_其形㈣^ °亥》平彳貝、、,。果爹考表1並加以說明。 (實施例1) "實施例1 ’施行圖3之自步驟S11至步驟S18的各步驟。實 ,例1之自步驟S13、步驟S14、步驟S16至步驟S18的各 條件如以下所示。 (A)第1圖案形成步驟S13 成膜裝查内壓:800mTorr
南頻率電源功率(40MHz/13MHz) : 200/0W 上部電極之電位:—6〇〇v 晶圓溫度:中心側/外周側=3〇/3〇°c 處理氣體之流量:CF4/〇2/Ar=150/50/1000sccm 處理時間:30秒 21 201216324 (B) 照射步驟S14 ^臈裴置内壓:l〇0mT〇rr 向頻率電源功率(4〇MHz/13MHz) : 500/0W 上部電極之電位:—9〇〇v 晶圓溫度:中心側/外周侧= 30/30°C 處理氣體之流量:H2/Ar=450/450sccm 處理時間:10秒 (C) 回蝕步驟S16 成膜裝置内壓:3〇mToir 面頻率電源功率(40MHz/13MHz) : 500/100W 上部電極之電位:3〇〇V 晶圓溫度:中心侧/外周側= 30/301 處理氣體之流量:C4F6/Ar/O2=15/450/22.5sccm 處理時間:25秒 (D) 蝕刻步驟S17 成膜裝置内壓:30mTorr: 南頻率電源功率(4〇MHz/13MHz) : 400/0W 上部電極之電位:0V , 晶圓溫度:中心側/外周侧=30/3(TC - 處理氣體之流量:CF4/CHF3/O2=125/125/20sccm 處理時間:12秒 (E) 第2圖案形成步驟S18 成膜裝置内壓:lOOmToir 高頻率電源功率(4〇MHz/13MH2;;) : 500/0W 上部電極之電位:0V 晶圓溫度:中心側/外周側= 30/301 處理氣體之流置.H2/N2 = 300/900sccm 處理時間:60秒 (實施例2) 實施例2 ’施行圖8之自步驟sil至步驟S18的各步驟。實
S 22 201216324 施例2之自步驟S14、步驟S16至步驟S18的各步驟之條件與每 施例1相同。此外,實施例2之步驟S13,的條件如以下所示广 (F)第1圖案形成步驟S13’ ' 成膜裝置内壓:800mTorr 高頻率電源功率(40MHz/13MHz) : 200/0W 上部電極之電位:〇V 晶圓溫度:中心側/外周侧= 30/30°C 處理氣體之流量:CF4/02/Ar=150/20/1000sccm 處理時間:55秒 (比較例1) 比較例1,省略圖8之步驟S14,施行自步驟S11、步驟S12、 步驟S13’、步驟S15至步驟S18之各步驟。比較例1之自步驟Sl6 至步驟S18的各步驟之條件,與實施例1相同。此外,比較例j 之步驟S13,的條件與實施例2相同。 表1顯示,實施例1、實施例2及比較例1中,施行至回钕步 驟幻6為止後之,以側壁部116a被覆側面的第2線部114a之線 寬L2。 實施例1 實施例2 比較例1 案形成步驟(步驟S13)之電:F照射 有 Μ /»、、 無 驟(步驟S14)之電子照射_ 有 有 無 部之線寬L2(nm) 33.3 28.3 25.6 如表1所示,比較例1中L2 = 25.6nm,但實施例2中L2 = 283nm,實施例2與比較例1比較,第2線部114a之線寬L2變 大。因此,藉著於照射步驟S14中照射電子,可防止氧化矽膜成 棋步騍S15及回蝕步驟S16中第2線部114a的變形。 此外,如表1所示,比較例1中L2 = 25.6nm,實施例2中L2 = ^3nm’但實施例1中L2 = 33.3nm,實施例1與比較例1比較’ ,實施例2其第2線部U4a之線寬L2變得更大。因此,藉著於 照射步驟S14中之照射電子,並於第1圖案形成步驟S13中亦照 23 201216324 —娜中第 12所支撐犠之 步‘=日日;,=周:基# 2線部ma峨U分价找 W其面内之第 寬GD_。編條件,= 表2顯示晶圓w之外周侧的溫度τ〇為2〇〇c、3〇 ^ 侧的溫度™為抓時作為基準的晶圓W之最外周的 此外,晶圓W之大小為300mm φ。另外,CD變動量 H(第1圖案形成步驟S13)前的第1線部115a之線寬L1、盥修 Μ第1圖案形成步驟S13)後的第2線部U4a之線寬L2的差、^ __ _晶圓之中心側的溫度Tire) 20 40 30 -3 以TO=30°C爲基準時之CD變動量(nm) 〜 υ 2 如表2所示’外周側的溫度TO為較中心側的溫度TJ為更低 l〇°C之2^c時,晶圓W之最外周的CD變動量’與外周側的溫度 TO為30°C時比較,小了 3nm。此外,外周側的溫度T0為較中心 侧的溫度ΤΙ為更高1(TC之4(TC時,晶圓W之最外周的CD變動 量’與外周側的溫度TO為30。(:時比較,大了 2nm。因此,藉由 獨立地調整中心側的溫度TI與外周側的溫度TO,可將修整^理 (第1圖案形成步驟S13)後的第2線部114a之線寬L2,;^晶^ W 之中心側與外周側,獨立地控制。 、_ 因此,第1圖案形成步驟S13,藉由調整基座,12所支撐的晶 圓W其面内之溫度分布,可使晶圓W其面内之第2線部11如的 線寬L2之分布均一。 24 0 201216324 其··人’麥考圖9與表3,對被钮刻膜蝕刻步驟S2〇中,藉由調 Ϊ曰之f内的溫度分布,可使晶圓W之面内由被侧膜112 4部112a其線寬L3的分布,於密部A1及疏部A2任 均'^效果加以說明。圖9為,設有密部A1及疏部A2的 日日圓W之狀癌的示意剖面圖。 至第2圖案形成步驟S18為止,至設有第3線部116a以 比較上較小之間隔说聊礼3)配列的區域(以下以「密部」稱 )A/之間,设有第3線部116b以比較上較大(較間隔D21更 阳配列的區域(以下以「疏部」稱之。)A2。第3、線更部 外古將氧化賴116成膜後,以另外的光阻膜等保護 分’於設有區域A2之部分形成包含由其他光阻 之ίί線ίρ # °之後’藉由施行使用包含所形成 a、116b的光罩圖案之光罩膜韻刻步驟S19及被# 刻_步驟S20,形成第5線部112a、⑽。圖 A,圖9之右側,設有以比較上較大(較間隔D2i D22配列的第5線部112b之區域A2。 文人)之間隔 半實f例1所示之自(A)翊之顯示條件施行圖3之自 步,sii至^驟S18為止的步驟以設置密部A1,並 同的條件施行步驟上 ί 行步驟S2G。此時,於步驟伽中,保 為一定(耽)而改變外周側的溫度 部A2其各自“第5線部i 12a及i 12b之線寬二 ⑹之條件相同。此外,使用多晶石夕膜作為觀刻膜f^。與下述 (G)被钮刻膜姓刻步驟S2〇 成膜裝置内壓:25ηιΤοιτ
高頻率電源功率(40ΜΗΖ/13ΜΗΖ) : 1500/1500W
上部電桂之電位:300V 晶圓溫度:中心側= 50°C 25 201216324 處理氣體之流量.C4F8/At7〇2 = 50/700/37sccm 處理時間:40秒 表3顯示晶圓W之外周側的溫度TO為40°C ‘ 50。(:、60。(:時 之,晶圓W之中心側及外周側的密部八丨、疏部A2其第5線部 112a、112b之分別線寬。表3中,使晶圓W之中心侧的密部A1 其第5線部112a之線寬為LI31 ;使外周侧的密部A1其第5線部 112a之線寬為L031。此外’使晶圓w之中心侧的疏部A2其第5 線部112b之線寬LI32 ;使晶圓W之外周側的疏部A2其第5線 部112b之線寬為L032。 [表3] 晶圓之中心側的溫度TI(°C) 50 50 50 晶圓之外周側的溫度T0(°c) 40 50 60 晶圓之中心側的密部A1其第5線部之 線寬 LI31(nm) 27.8 28.0 27.6 晶圓之外周側的密部A1其第5線部之 線寬 L031〇im) 28.8 27.8 27.0 LI31 — L031(nm) -1.0 0.2 . 0.6 晶圓之中心側的疏部A2其第5線部之 線寬 LI32(nm) 269 271 269 晶回之外周側的疏部A2其第5線部之 線寬 L032(nm) 280. 267 262 LI32—L032(nm) -11 4 7 如表3所示’將外周側的溫度τ〇於4〇〇c至6(rc之間調整時, 可將晶圓W之中心侧及外周側的密部Ai其第5線部112a之線寬 的差LI31 — L031,自一l.Onm至〇.6nm為止自由地變化。連帶地, 因亦可使LI31—L031為〇,可使晶圓w之中心側及外周側的ai 其第5線部112a之線寬分布均—。 此外,將外周側的溫度TO於40它至60〇C之間調整時,可 晶圓W之中心側及外周側的疏部A2其第5線部112b之線寬的差 LI32-L032’自-llnm至7nm為止自由地變化。連帶地,因亦· 26 3 201216324 可使LI32 —L032為〇,可使晶圓w之中心側及外周側的疏部A2 其第5線部112b之線寬分布均一。 如表3所示,變化晶圓W之外周側的溫度TO時,疏部A2 之線寬其晶圓W之中心側與外周側的差,較密部八丨之線寬其晶 圓中心側與外周側的差有更大變化。吾人認為此係因疏部 A2的第5線部112b,較密部A1的第5線部112a更容易與電漿 接觸而反應之故。第5線部U2a、U2b與電漿反應時之反應速度, 及反應而生成之反應生成物於第5線部U2a、U2b再附著的附著 係數,取決於溫度。是故,變化晶圓w之溫度時,疏部A2的第 5線部112b之線寬,較密部A1的第5線部丨丨仏之線寬有更大變 化。 —因此,藉由調整晶圓W的溫度分布,可使線寬在疏部八2較 在密部A1中有大的變化。而如表3所示,可使巾心側的密部Μ 其線寬LI31 _外周側的密部A1其線寬L〇31為略等,並使中心 側的疏部A2其線寬LI32與外周側的疏部A2其線寬L〇32為略等。 以上,依本實施形態,以swp之手法形成微細光罩圖案 將成為侧壁部116a之氧化補116成膜前,藉由以電子照射側壁 部116a之構成芯料的第2線部U4a,使第2線部U4a改質。萨 此,可防止將氧化賴116成膜時、及回撼氧化頻116 ; 此外,依本實施形態,於第 I虫刻步驟S20之任一中,調整晶 可使晶圓W之中心側與外周側, 之線寬的分布各自均一。 由光阻膜115構成之芯料的第2線部丨丨乜之變形。 ’於弟1圖案形成步驟S13及被飯刻膜 調整晶圓W之面内的溫度分布。藉此, ’第2線部114a及第5線部112a
=與修整處理之情況有相同的效果。 態中,於第1圖案形成步驟Sl3及照射步 27 201216324 驟S14、或僅於照射步 於施行氧切則U射電子之赃加峨明。然而, 步驟S12後、第!圖安則照射電子即可。因此,於光微影 (第2實施形態^也成步,驟Sl3前照射電子亦可。 其次,參考圖10,机 ' 方法加以說明。 么明第2實施形態的光罩圖案之形成 本實施形態,於笫彳阁安 實 未設施_的電漿處理裝置1GGa,於基座η 未》又有胍度刀布调整部之點’與第 =聚處理裝置觸相異。除了未設有, 與使用圖1而說明之電漿處理裝置100相同。 本實施形態,未設有溫度分布縦部,僅於基座12之内部, 設有例如於圓周方向延伸之環狀的冷媒流路48。冷媒流路48,介 由配管5G、52自未圖示之冷卻單元循環供給既定溫度的冷媒,例 如冷卻水。可藉冷媒之溫度控制靜電吸盤4G上之晶圓w的溫度。 此外,與第1貫施形態相同,為使晶圓W之溫度精度更上一 層,介由亂體供給管54及基座12内部之氣體通路%,將來自未 圖示之傳熱氣體供給部的傳熱氣體’例如He氣,供給至靜電吸盤 40與晶圓W間。 孤 本實施形態的光罩圖案之形成方法及半導體裝置之製造方 法,亦使用圖3及圖8說明,與第1實施形態之方法相同。然而, 本實施形態,因係使用不具有溫度分布調整部之電浆處理裝置 100a而施行,故於第1圖案形成步驟S13及被触刻膜敍刻步驟S20 之任一步驟中,皆不調整晶圓W之面内的溫度分布。 本實施形態,以SWP之手法形成微細光罩圖案時,將成為側
28 S 201216324 土口p 116a之氧化石夕膜n6成膜前 之構成芯料的第2線部114a,使子照射側壁部116a 止將氧化頻W顧時、相=觀,可防 115構成之芯料的第2線部114a之變形。夕膑116時,由光阻膜 本實施形態,於第1圖案形成 整第1線部115a之情況,與修整處之’亦可適用於未修 旨範圍内中,可作各種變形·變更二圍内所3己載之本發明的要 ―麵號為依據主張優ί權月=【丨=:利申請2_ 【圖式簡單說明】 圖1顯示第1實施形態的電漿處理裝置之概略剖 圖2顯示控制電漿處理裝置之各部及全體順序 例的圖。: u 1 ! | 4 圖3說明第1實施形態的光罩圖案之形成方法及 置之製造方法的流程圖。 一圖4A(a)(b)(c)說明第1實施形態的光罩圖案之形成方法及 半¥體褒置之製造方法的圖,示意各步驟的晶圓之狀態。 圖4B(d)(e)(f)接續圖4A,說明第1實施形態的罩圖案之 形成方法及半導體裝置之製造方法的圖,示意各步驟的晶圓之'狀 態。 圖4C(g)(h)(i)接續圖4B,說明第1實施形態的光罩圖案之 形成方法及平導體裝置之製造方法的圖,示意各步驟的晶圓之狀 態。 圖5第1實施形態中,說明藉著於線部照射電子而施行之改 質處理其原理的模式圖。 29 201216324 圖6以圖表顯示電子被照射於光阻劑時之電子能盘 侵入深度的理論上的關係之圖。 >、 ⑹f知的光罩圖案之形成方法 以方法中,騎至雜轉為止後的 =裝置之製 圖8對本實施形態的光罩圖案面圖。 製造方法的其他例子,說明各步驟$二成方法及半導體裝置之 圖9設有密部A1及疏部A2 # j的流程圖。 圖1〇顯示第2實施形態的電激示意剖面圖。 妓、略剖面圖。 L主要元件符號說明】 10腔室 U基座 14 (絕緣性)筒狀支持部 16 (導電性)筒狀支持部 18排氣路 2G排氣環 22排氣口 24排氣管 26排氣裝置 28閘閥 30高頻率電源 32匹配器 36供電棒 38對焦環 4〇靜電吸盤 42直流電源 44、82開關 46供電線 48冷媒流路 50、52配管 201216324 54 氣體供給管 56 氣體通路 60上部電極 62 電極板 64電極支持體 65 絕緣體 66氣體擴散室 68 氣體吐出孔 70 氣體供給管 72處理氣體供給部 74高頻率電源 76 匹配器 78上部供電棒 80可變直流電源 84直流供電線 86濾波電路 88 DC接地零件 90接地線 100電漿處理裝置 111絕緣膜 112被蝕刻膜 112a > 112b 第 5 線部 113光罩膜 113a 第4線部 114 防反射膜 114a 第2線部 114b侧面被覆線部 115 光阻膜 115a 第1線部 116氧化矽膜 31 201216324 116a第3線部(側壁部) 120溫度分布調整部 121a、121b 加熱器 122a、122b加熱器用電源 123a、123b 溫度計 124a、124b 冷媒流路 125a 中心側導入管 125b 外周側導入管 126a中心側排出管 126b外周側排出管 127溫度控制部 130控制部 150匯流排 152 處理器 154記憶體 156程式儲存裝置 158磁碟機 160輸入元件 162顯示裝置 164 網路•介面 166周邊介面 168記憶媒體 PS處理空間 W晶圓 S11〜S20 步驟
32 S

Claims (1)

  1. 201216324 七、令請專利範圍·· 第1圖=圖步ί ; =如下步驟·· f1線部作為光罩而__ί射Ξ 斤構叙 與該防反射膜構成之第2線部的圖案· 3形成包S由該光阻膜 照射步驟,於該光阻膜照射電^; 第2 irf職辭驟,概切膜顧,使其科地被覆於該 上部^使====膜^第2線部之 第2圖案形成步驟,藉由將 =,以及 線部的光罩圖案,竽第3^弟2線。卩灰化而形成包含第3 侧壁部。/、以弟、、泉縣由該氧化石夕膜構成並殘留作為該 2、 如申請專利範圍第i項之* 3、 如申請__ f項之阻酿射電子。 該第1圖案形成步驟:_圖案2成方法,其中, 姓刻該防反射膜。 面對雜1線部照射電子’一面 i第1 ^光罩®案之形成方法,其中, 具有較該第1線整31線部之步驟,形成 反射膜所構成之該第2線部的圖^寬,u含由該光阻膜與該防 ^如申請專利範圍第丨項之光罩 布 於该第1圖案形成步驟中,藉由替:二/ ,/、中, 來控制該基板其面内之該第 6%、—種半導财置之製造方法,mu ° &層步驟’於基板上,將馳 f 光阻膜疊層; ^九罩膜、防反射膜、及 光微影步驟,使用光微影技術,自 光旱圖案形成步驟,藉由申請專利罩 33 201216324 形成方法,形成該光罩圖案; 步‘’使用形成之該光罩圖荦將料置胺為方I 糟以形成由該光罩臈構成之第4線部;5絲罩膜韻刻, 被韻刻麻刻步驟,以形成之&第 I虫刻臈,藉以形成由該被·膜構成之第5 g為光罩飯刻該被 7、如申請專利範圍第6項之半導體裝置之。择 該被飯刻賴刻步驟,藉由調整該基板 造6方广,其中, 而控制該基板其面内之該第5線部_ ⑽溫度分布’ 圖式 34
TW100111020A 2010-04-02 2011-03-30 光罩圖案之形成方法及半導體裝置之製造方法 TWI450317B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010085956A JP4733214B1 (ja) 2010-04-02 2010-04-02 マスクパターンの形成方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW201216324A true TW201216324A (en) 2012-04-16
TWI450317B TWI450317B (zh) 2014-08-21

Family

ID=44461724

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100111020A TWI450317B (zh) 2010-04-02 2011-03-30 光罩圖案之形成方法及半導體裝置之製造方法

Country Status (6)

Country Link
US (1) US20130023120A1 (zh)
JP (1) JP4733214B1 (zh)
KR (1) KR101427505B1 (zh)
CN (1) CN102822943B (zh)
TW (1) TWI450317B (zh)
WO (1) WO2011125605A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709996B (zh) * 2015-10-06 2020-11-11 日商東京威力科創股份有限公司 被處理體之處理方法
TWI766907B (zh) * 2016-12-07 2022-06-11 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013047464A1 (ja) * 2011-09-28 2013-04-04 東京エレクトロン株式会社 エッチング方法及び装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5673900B2 (ja) * 2012-12-28 2015-02-18 大日本印刷株式会社 ナノインプリントモールドの製造方法
US8828839B2 (en) * 2013-01-29 2014-09-09 GlobalFoundries, Inc. Methods for fabricating electrically-isolated finFET semiconductor devices
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104425223B (zh) * 2013-08-28 2017-11-03 中芯国际集成电路制造(上海)有限公司 图形化方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US9589964B1 (en) 2015-06-24 2017-03-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
JP6236481B2 (ja) * 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7011947B2 (ja) * 2018-01-29 2022-02-10 東京エレクトロン株式会社 アッシング装置、アッシング方法及びコンピュータ読み取り可能な記録媒体
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) * 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524855B (zh) * 2019-02-02 2023-05-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098162A (ja) * 1996-09-20 1998-04-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6423475B1 (en) * 1999-03-11 2002-07-23 Advanced Micro Devices, Inc. Sidewall formation for sidewall patterning of sub 100 nm structures
US6632587B2 (en) * 2001-05-22 2003-10-14 Macronix International Co., Ltd. Method of enhancing photoresist anti-etching ability
US6811956B1 (en) * 2002-06-24 2004-11-02 Advanced Micro Devices, Inc. Line edge roughness reduction by plasma treatment before etch
JP4574257B2 (ja) 2004-07-15 2010-11-04 富士フイルム株式会社 大口径広角レンズ
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
JP4673173B2 (ja) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5236983B2 (ja) * 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090087990A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device
KR100948093B1 (ko) * 2007-12-21 2010-03-16 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
JP5264374B2 (ja) * 2008-09-02 2013-08-14 東京エレクトロン株式会社 パターン形状検査方法及び半導体装置の製造方法
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI709996B (zh) * 2015-10-06 2020-11-11 日商東京威力科創股份有限公司 被處理體之處理方法
TWI766907B (zh) * 2016-12-07 2022-06-11 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法

Also Published As

Publication number Publication date
KR20120132693A (ko) 2012-12-07
WO2011125605A1 (ja) 2011-10-13
CN102822943B (zh) 2015-10-21
CN102822943A (zh) 2012-12-12
US20130023120A1 (en) 2013-01-24
KR101427505B1 (ko) 2014-08-07
JP4733214B1 (ja) 2011-07-27
JP2011216817A (ja) 2011-10-27
TWI450317B (zh) 2014-08-21

Similar Documents

Publication Publication Date Title
TW201216324A (en) Method for forming mask pattern and method for manufacturing semiconductor
US9478421B2 (en) Optically tuned hardmask for multi-patterning applications
TWI458014B (zh) 用以控制多層遮罩之圖案臨界尺寸與完整性的蝕刻製程
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
TW201937575A (zh) 半導體製程中之間隔物限定的直接圖案化方法
CN102347230B (zh) 等离子体处理方法以及等离子体处理装置
TWI375991B (en) Method for multi-layer resist plasma etch
US9911607B2 (en) Method of processing target object
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US7858270B2 (en) Method for etching using a multi-layer mask
KR20220160112A (ko) 밀폐형 오버레이어 (hermetic overlayer) 에 의한 포지티브 톤 건식 현상 (positive tone dry development) 을 달성하기 위한 구조체 및 방법
TW201403705A (zh) 半導體裝置之製造方法及電腦記錄媒體
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
O'Connell An Etching Study for Self-Aligned Double Patterning
TW202244312A (zh) 基板處理方法
WO2021202198A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer