TW201137936A - Ultra low silicon loss high dose implant strip - Google Patents
Ultra low silicon loss high dose implant strip Download PDFInfo
- Publication number
- TW201137936A TW201137936A TW099143367A TW99143367A TW201137936A TW 201137936 A TW201137936 A TW 201137936A TW 099143367 A TW099143367 A TW 099143367A TW 99143367 A TW99143367 A TW 99143367A TW 201137936 A TW201137936 A TW 201137936A
- Authority
- TW
- Taiwan
- Prior art keywords
- workpiece
- gas
- plasma
- carbon
- photoresist
- Prior art date
Links
- 239000007943 implant Substances 0.000 title claims abstract description 19
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title abstract 2
- 229910052710 silicon Inorganic materials 0.000 title abstract 2
- 239000010703 silicon Substances 0.000 title abstract 2
- 239000007789 gas Substances 0.000 claims abstract description 121
- 238000000034 method Methods 0.000 claims abstract description 111
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 87
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 40
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 40
- 239000011737 fluorine Substances 0.000 claims abstract description 39
- 239000001257 hydrogen Substances 0.000 claims abstract description 20
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 20
- 230000008569 process Effects 0.000 claims description 71
- 150000001875 compounds Chemical class 0.000 claims description 41
- 239000000463 material Substances 0.000 claims description 34
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 30
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 28
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 24
- 239000003223 protective agent Substances 0.000 claims description 23
- 239000007800 oxidant agent Substances 0.000 claims description 22
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 19
- 239000001569 carbon dioxide Substances 0.000 claims description 15
- 230000001590 oxidative effect Effects 0.000 claims description 15
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 13
- 229910052799 carbon Inorganic materials 0.000 claims description 13
- 239000000203 mixture Substances 0.000 claims description 12
- 238000006243 chemical reaction Methods 0.000 claims description 10
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 9
- 150000002431 hydrogen Chemical class 0.000 claims description 7
- 230000003647 oxidation Effects 0.000 claims description 5
- 238000007254 oxidation reaction Methods 0.000 claims description 5
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 4
- 239000002002 slurry Substances 0.000 claims description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 3
- 230000007246 mechanism Effects 0.000 claims description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 2
- 230000005611 electricity Effects 0.000 claims description 2
- 239000000839 emulsion Substances 0.000 claims description 2
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 claims description 2
- 238000006116 polymerization reaction Methods 0.000 claims description 2
- 239000011241 protective layer Substances 0.000 claims description 2
- 239000007921 spray Substances 0.000 claims description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims 1
- 241000283973 Oryctolagus cuniculus Species 0.000 claims 1
- 239000003153 chemical reaction reagent Substances 0.000 claims 1
- 230000003472 neutralizing effect Effects 0.000 claims 1
- 238000010899 nucleation Methods 0.000 claims 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 abstract 1
- 210000002381 plasma Anatomy 0.000 description 62
- 235000012431 wafers Nutrition 0.000 description 61
- 206010039509 Scab Diseases 0.000 description 50
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 36
- 239000010410 layer Substances 0.000 description 25
- 239000000758 substrate Substances 0.000 description 23
- 238000005468 ion implantation Methods 0.000 description 19
- 150000002500 ions Chemical class 0.000 description 18
- 241000894007 species Species 0.000 description 15
- -1 boron ions Chemical class 0.000 description 14
- 229910052751 metal Inorganic materials 0.000 description 12
- 239000002184 metal Substances 0.000 description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 10
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 10
- 229910052760 oxygen Inorganic materials 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 10
- 230000004907 flux Effects 0.000 description 9
- 238000002513 implantation Methods 0.000 description 9
- 230000001965 increasing effect Effects 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- 230000001681 protective effect Effects 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 239000001307 helium Substances 0.000 description 6
- 229910052734 helium Inorganic materials 0.000 description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 6
- 238000004380 ashing Methods 0.000 description 5
- 239000006227 byproduct Substances 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 239000002019 doping agent Substances 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 229910001427 strontium ion Inorganic materials 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- 238000007792 addition Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000010884 ion-beam technique Methods 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 239000004575 stone Substances 0.000 description 3
- XTQHKBHJIVJGKJ-UHFFFAOYSA-N sulfur monoxide Chemical class S=O XTQHKBHJIVJGKJ-UHFFFAOYSA-N 0.000 description 3
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- 229910018503 SF6 Inorganic materials 0.000 description 2
- 101100533758 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) SNF3 gene Proteins 0.000 description 2
- RAHZWNYVWXNFOC-UHFFFAOYSA-N Sulphur dioxide Chemical compound O=S=O RAHZWNYVWXNFOC-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 230000009172 bursting Effects 0.000 description 2
- 150000001722 carbon compounds Chemical class 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000001939 inductive effect Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 2
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- 229910052815 sulfur oxide Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910015900 BF3 Inorganic materials 0.000 description 1
- BMTAFVWTTFSTOG-UHFFFAOYSA-N Butylate Chemical compound CCSC(=O)N(CC(C)C)CC(C)C BMTAFVWTTFSTOG-UHFFFAOYSA-N 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 241000183024 Populus tremula Species 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 240000006394 Sorghum bicolor Species 0.000 description 1
- 235000011684 Sorghum saccharatum Nutrition 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 241001080929 Zeugopterus punctatus Species 0.000 description 1
- ROBVLQBZPQQRTQ-UHFFFAOYSA-N [N].C1=CN=NN=C1 Chemical compound [N].C1=CN=NN=C1 ROBVLQBZPQQRTQ-UHFFFAOYSA-N 0.000 description 1
- 210000001015 abdomen Anatomy 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- JCMGUODNZMETBM-UHFFFAOYSA-N arsenic trifluoride Chemical compound F[As](F)F JCMGUODNZMETBM-UHFFFAOYSA-N 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- FFBHFFJDDLITSX-UHFFFAOYSA-N benzyl N-[2-hydroxy-4-(3-oxomorpholin-4-yl)phenyl]carbamate Chemical compound OC1=C(NC(=O)OCC2=CC=CC=C2)C=CC(=C1)N1CCOCC1=O FFBHFFJDDLITSX-UHFFFAOYSA-N 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 229910052810 boron oxide Inorganic materials 0.000 description 1
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- 229910002090 carbon oxide Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000004299 exfoliation Methods 0.000 description 1
- 150000002221 fluorine Chemical class 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 230000004927 fusion Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 238000005470 impregnation Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 229910001449 indium ion Inorganic materials 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000002045 lasting effect Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- AZQWKYJCGOJGHM-UHFFFAOYSA-N para-benzoquinone Natural products O=C1C=CC(=O)C=C1 AZQWKYJCGOJGHM-UHFFFAOYSA-N 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- DAFIBNSJXIGBQB-UHFFFAOYSA-N perfluoroisobutene Chemical group FC(F)=C(C(F)(F)F)C(F)(F)F DAFIBNSJXIGBQB-UHFFFAOYSA-N 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000011814 protection agent Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Description
201137936 六、發明說明: 【發明所屬之技術領域】 本發明係關於自-卫件表面移除或剝離光阻材料並且移 除相關殘留物之方法及裝置。在某些實施例中,此說明壹 係關於在離子植人或電㈣I助摻雜植人之後用於剝離光阻 (低劑量或高劑量植入光阻)之方法及裝置。 此說明書主張自2_hMU日申請之美國專利申請案 第12/636,582號之優先權,並以引用方式併入本文。 【先前技術】 光阻係於處理期間在-工件(例如一半導體晶圓)上形成 -圖案化塗佈之某些製造製程中所使用之—感光材料。在 使該光阻塗佈表面曝露於高能量輕射之一圖案之後,移除 該光阻之-部分以顯露下表面,並使剩餘表面得以保護。 在未經遮蓋表面及制餘光阻上執行半導體製程(諸如敍 J /尤積及離子植入)。在執行一或多個半導體製程之 後’以一剝離操作移除該剩餘光阻。 在離子植入期間,摻雜離子(例如硼離子、二氟化硼離 子、銦離子、鎵離子、鉈離子、磷離子、砷離子、銻離 子、鉍離子或鍺離子)被加速朝向一工件目標。該等離子 植入於該卫件之所曝露區域以及該剩餘光阻表面中。該製 程可形成井區域(源極/汲極)及輕微摻雜汲極(ldd)區域及 雙擴散汲極⑽D)區域。該離子植入用植入物種浸潰該光 阻域該表面耗乏氫。㈣阻之外層或結殼形成碳化層, 該碳化層之密度可能比下伏塊體光阻層更大。此等兩個層 152834.doc 201137936 具有不同熱膨脹速率並在不 應0 同速率下對剝離製程作出反 在後尚劑量離子植人光阻中介於該外層與該塊體層之間 的差別係極顯著的。在高劑量植人中,離子劑量可大於i x 10】5 個離子/平方公分’且能量可自1〇 —至大於⑽^習 知高劑量植入剝離(HDIS)製程採用氧氣化學,其中 程腔室形成單價氧氣電聚且使該單價氧氣電裝指向該工: 表面處。反應性氧與該光阻組合以形成用—真空幫浦 之氣態副產物。對於HDIS,需要額外氣體來移除具有氧 氣之該等植入離子。 主,的刪考慮包含剝離速率、殘餘物量及所曝露且 下伏溥膜層之薄膜損失。通常在咖及剝離之後於基板 表面上發現殘留物。該光阻中之高能量植入、結殼之不完 全移除及/或植人原子之氧化期間之濺鏟可產生該等殘留 物。在剝離之後,該表面應無殘留物或實質上無殘留物以 確保高良率並消除對額外殘留物移除處理之需要。可藉由 過剝離(即’超過移除所有光阻標稱上所需的剝離心之 一繼續)移除殘留物。不幸的是,在f知腹s操作中,過 到離有時移除—些下伏功能器件結構。在該料層處,甚 ^來自電晶體源極/汲㉟區域之矽損失極小M乃可不利地 办a器件效能及良率,尤其對於在<32奈米設計規則或更 小之條件下製造之極淺接面器件而言。 因此需要剝離光阻及離子植入相關殘留物之改良方法及 裝置,尤其對於HDIS而言’該等方法及該裝置最小化矽 152834.doc 201137936 損失並使殘留物較少或無殘留物,並維持一可接受剝離速 〇 【發明内容】 本發明提供用於自件表面剝離光阻並移除離子植入 :關殘留物之方法及裝置。根據各種實施例,使用元素 氫、含氟氣體及保護劑氣體來產生電漿。活化電聚氣體與 门劑量植人光阻發生化學反應,移除結殼及塊體光阻層兩 者,並同時保護該工件表面之所曝露部分。在低石夕損失之 情況令,該工件表面實質上係無殘留物。 以下將參考關聯圖式更詳細地描述本發明之此等及其他 特徵及優點。 【實施方式】 在本發明之下列詳細描#中,# $數種具體實施例以提 t、本發明之全文理解。然而’熟習此項技術者將瞭解,本 =明可在無此等具體細節之情況下或藉由使用替代元件或 衣矛王執仃。在其他情況中’並未詳細描述熟習的製程、步 驟及組件’以免不必要混淆本發明之諸態樣。 在此說明書中’將交替使用術語「工件」、「半導體晶 圓」曰曰圓」及「部分所製造積體電路」。熟習此項技術 者將瞭解語「部分所製造積體電路」在其上多個積 體電路製造階之任一階期間可指代一石夕圓晶。下列詳細描 述假a又在-晶圓上執行本發明。然而,本發明並無如此限 制°亥工件可為各種形狀、大小及材料。除半導體晶圓之 外可利用本發明之其他工件包含各種物件(諸如顯示 152834.doc 201137936 器、印刷電路板及類似物)。 如則所提及,本發明之該等方法及該裝置可用以在高劑 量離子植入.之後有效率並有效移除光阻材料。本發明並不 限於高劑量植入剝離(H D Ϊ s )。本發明亦並不限於任何種類 的植入離子。舉例而言,所描述方m置可在中等或低 j里植入之後與剝離一起有效地使用。雖然已討論具體摻 雜離子(諸如硼離子、坤離子及磷離子),但是該等所描述 方法及該等所描述裝置可有效地用以剝離經其他摻雜物 (諸如氮、氧 '碳、鍺及鋁)浸潰之光阻。 本發明之該等方法及該裝置使用產自含有氫之氣體之電 聚。在某些實施例中,該等氣體亦含有弱氧化劑、含氣氣 體及保護劑氣體(諸如cf4)。熟習此項技術者將認識到該 電漿中存在的實際物種可為衍生自氫、弱氧化劑、含氟氣 體及保護劑氣體之不同離子、基團及分子之—混合物。應 注意到,隨著該電漿與有機光阻及其他殘留 應並將其等分解,反應腔室中可存在其他二= 氫化合物、二氧化碳、水蒸氣及其他揮發性組分卜熟習 此項技術者亦將認識到引入至該電漿中之該(該等)初始氣 體通常係不同於該電漿中存在的該(該等)氣體以及在剝離 期間接觸該工件表面之該(該等)氣體。 圖1A至圖1D描繪離子植入及剝離操作前後的各種半導 體製造階。圖1A展示經光阻材料1〇3塗佈之一半導體基板 101。該基板101可包含一或多層沈積薄膜(例如,氧化物 膜、矽化物接觸件及/或多晶矽薄膜),或可為包含例如— 152834.doc 201137936 絕緣體上覆㈣基板之-切基板。最初,該光阻材料塗 佈整個基板表面。接著使該光阻曝露於通過一遮罩所產生 之圖案化輻射並且進一步移除該材料之一部分(例如,圖 1A中所展示介於剩餘光阻材料1〇3之間的開口 1〇4)。 接著,使该基板曝露於一離子植入製程。在離子植入期 間,該工件或該晶圓之表面被植入摻雜離子。該製程可為 (例如)一電漿浸潰離子植入(ΡΙΠ)或離子束植入。該等離子 衝擊包含該所曝露矽層101及該光阻1〇3之該基板表面。隨 者向能量離子植入,可使少量下伏材料1〇7濺鍍至光阻側 土參見圖1B。此材料可包含一些植入物種、該電漿或該 離子束中之其他材料及該植入之副產物。其等包含矽、 鋁、碳、氟、鈦、其他接觸材料(諸如鈷)及元素與化合物 形式兩者之氡氣。實際物種取決於在離子植入之前的基板 之組合物、光阻及植入物種。 在該所曝露矽層101處,產生一摻雜區域1〇9。離子能量 或衝擊強度決定該摻雜區域之深度或厚度。離子通量密度 決定摻雜程度。 該等離子亦浸潰產生一結殼層105之該光阻表面❶該結 喊層105可被碳化並且高度地交聯聚合物鏈。該結殼通常 係耗乏氫並經植入物種浸潰。該結殼層1 〇5之密度比該塊 體光阻層103之密度更密集。相對密度取決於離子通量, 同時結殼層之厚度取決於離子能量。 此結殼層105比下方之該塊體光阻103更難以剝離。該結 成層105之移除速率可比該下伏塊體光阻慢5〇。/❶或75%。該 I52834.doc 201137936 塊體光阻含有相對較高位準經化學鍵合的氮及一些其初始 澆鑄溶劑。在提高之晶圓溫度下(例如,高於15(rc至高於 200 C ),该塊體光阻可脫氣並相對於該結殼層膨脹。接 著該整個光阻可隨著該下伏塊體光阻在該結殼下增強壓 力而「爆裂(pop)」。光阻爆裂係微粒及製程缺陷之一原 因,疋係因為該等殘留物尤其難以自該晶圓表面及腔室内 4 4件π除。隨著南劑量離子植入,介於該結殼層與該下 伏塊體光阻層之間的密度差甚至更高。該結殼亦可較厚。 圖1C展示未能完全移除該光阻1〇3及該側壁濺鍍殘留物 107之一剝離之後的基板。該側壁濺鍍殘留物1〇7可包含在 驾头釗離化學下並未形成一揮發性化合物之微粒。此等微 粒在-習知剝離操作之後可保留下來。該殘留物亦可包含 由習知剝離化學中所使用之反應性氧形成之植入物種之氧 化物(諸如氧化硼及氧化珅)。該結殼1〇5之部分亦可保留於 該基板上。由於幾何形狀,光阻介層孔之下伏處之結殼側 壁及拐角可難以剝離。 在一些情況中,可藉由過剝離 '使用含氟化學或濕式清 洗該晶圓來移除此等殘留物微粒。已發現習知氧化學中之 過剝離導致多餘的梦氧化,但是仍未移除(若存在)氧化删 及氧化砷。在根據本發明所產生的電漿中使用含氟化合物 產生可形成揮發性氟化硼及氟化砷之氟基團。這有助於移 除殘留物’但是不幸的是,#亦可㈣來自該基板之下伏 矽及氧化矽。根據本發明之實施例之特定剝離含氟化學之 使用緩和此問題。 152834.doc 201137936 矽損失係光阻厚度、結殼厚度及百分比過剝離之一函 數。移除較厚的光阻之較長並更多具有侵鞋性的過剝離亦 可移除更多的石夕。對於具有較厚的結殼之光阻,結殼層與 塊體光阻層之間的差別甚至係更明顯的。較厚的結殼側壁 及扣角甚至更難以剝離。因此,經設計以移除厚的結殼之 剝離製程亦易於移除更多的石夕。除殘留物移除之外,過剝 離亦可用以解決製程均勻性及幾何形狀問題。過剝離係超 過移除所有光阻標稱上所需的剝離製程之一繼續。若在該 晶圓(但非其它)之一些區域中完全移除該光阻,則該剝離 製程之繼續將引起自已剝離之區域移除之額外材料(通常 係石夕及氧化矽)^典型的過剝離係大約1 〇〇%。 圖1D展示移除所有殘留物之後的基板。根據各種實施 例在無額外碎損失或氧化及最小延遲之情況下移除該殘 留物。在某些實施例中,該剝離製程使其無殘留物並因此 減小製程步驟之數目。 圖2A至圖2D描繪針對該器件包含一金屬閘極之一特定 實施例之離子植入及剝離操作前後之各種半導體製造階。 圖2A展示離子植入之前包含半導體基板2〇1上之金屬閘極 堆疊210及圖案化光阻2〇3之部分製造的器件。注意到,在 所繪示圖式中,該圖案化光阻2〇3部分掩蓋金屬閘極堆疊 210之視圖。在某些實施例中,基板2〇1係一絕緣體上覆矽 型基板。淺清渠隔離(STI)區域205係内嵌入基板2〇1且大致 上係用一絕緣材料(諸如氧化矽)填充之溝渠。圖2B展示包 含離子束通量214及重新濺鍍通量216之植入期間之器件。 152834.doc 〇 201137936 該重新濺鍍通量216使基板材料(Si、STI及氮化矽(SiN))沈 積於側壁上。植入之後,在如圖2C所示之該塊體光阻203 頂部(215a)及側壁(215b)上形成一結殼215。歸因於該離子 植入束通量214之角度以及來自該重新減;鑛通量216之側壁 沈積,在植入期間可使該頂部結殼215a及該側面結殼215b 經歷不同環境。使用本文所描述的該等製程,移除該塊體 光阻203及該等結殼構形215a及215b,並使金屬閘極210未 才貝壤且使來自基板201及STI區域205之表面之表面材料之 損失最小,如圖2D所示。 本文所描繪的該等方法移除光阻及殘留物,同時最小化 石夕損失及對閘極堆疊之損壞。根據各種實施例,金屬閘極 堆疊可包含氮化鈦(TiN)、鈕、氮化钽或鎢之一或多者。 一高k閘極介電質(諸如氧化铪、氧化锆、氧化鈦)可沈積於 基板與金屬閘極之間。不同於多晶矽閘極,金屬閘極與習 知基於氧氣剝離化學不相容。而且,習知基於氧氣化學導 致高矽損失。 本發明之一態樣係關於限制矽損失之高劑量植入光阻/ 殘留物移除之新穎剥離化學。根據各種實施例,光阻及$ 留物係曝露於由分子氫、弱氧化劑、含氟化合物及保護, :匕合物形成之一電漿。所揭示之製程達成—實質上益殘彳 _離製程並使㈣失最,卜且與金相極相容。在並; :^意特^理論或反應機制限制之情況下,據信該以 團與製程氣體中之氫氣組合以形成氟化.氫(师 ,‘,、鼠基團。據㈣損失減小,部分仙為保護劑七 152834.doc 201137936 «物與表面石夕發生化學反應以形成保護聚合薄膜、碳化 物、氮化物或其他非氧化物保護層,其冑具有低於hf中之 氧化物之蝕刻速率。 製輊化學 如所指示’卿製歸及自包含各種組分氣體之一氣體 產H聚。本文所描述的剝離化學係、基於氣氣而非基於 氧氣。分子氫(h2)係產生電漿之氣體之主要組分,其中 :’〇〇〇 至 4M00 SCCm(例如,!,_ 至 6,_ sccm)H4幕後運 行,違產生電聚氣體之其他組分之例示性流速係至少一數 量級。根據各種實施例,該等其他組分氣體包含含氣化合 物及保護劑化合物。在許多實施例中,包含二氧化碳或其 他弱氧化劑,但是在某些實施例中並未包含二氧化碳或其 他弱氧化劑。 弱氧化劑之實例包含碳氧化物(諸如二氧化碳(c〇2)、一 氧化%i (CO))、氮氧化物(諸如一氧化二氮(n2〇)、一氧化氮 (NO)、二氧化氮(Noj)及硫氧化物(諸如氧化硫⑺及二氧 化硫(N〇2))。其他弱氧化物之實例包含任意含氧碳氫化合 物(CXHYQZ)及水(He) ο在某些實施例中,該弱氧化劑係 含碳化合物。在特定實施例中,二氧化碳係用作該弱氧化 劑’這係因為二氧化碳便宜、安全且有效。 該含氟氣體可為三氟化氮(NF3)、六氟化硫(sf6)、六氟 乙烧(c2f6)、四氟甲烷(Cf4)、三氟甲烷(CHF3)、二氟甲烷 (ch2f2)、八氟丙烷(c3F8)、八氟環丁烷(C4F8)、八氟[〗_]丁 烧(C4F8)、八氟[2-] 丁烷(C4F8)、八氟異丁烯(c4F8)、氟(f2) I52834.doc . n . 201137936 及颁似物。在特定實施例中,該含氟氣體係nf3、sf6、f2 或HF/飞。如下所描述,已發現此等氣體係比某些含碳蝕刻 劑(諸如CF4)品質更高之剝離氣體。在某些實施例中,該 各氟化&物係不含碳化合物。在一特定實施例中,係 用作β亥含氟氣體。如上所討論,據信在該剝離期間該腔室 中之主要蝕刻劑組分係HF汽。因此,在某些實施例中,可 使用易於轉化為HF汽之任意含氟氣體。 «亥保濩劑化合物大致上係含碳化合物,但是在某些實施 J中八可為含氮化合物。在某些實施例中,該保護劑氣 體亦可為含氟化合物。舉例而言,在某些實施例中,該保 濩剤化合物係CL ^應注意到該製程化學大致上包含含氟 化合物(例如,NF〇及保護劑化合物(CFO兩者,其中此等 化合物係相異的。即,甚至在該保護劑化合物含有氟之情 況中,同樣亦提供-相異含說化合物。在某些實施例中, 該含氟化合物與該保護劑化合物相比係一明顯更強的钱刻 劑。在某些實施例中,該保護劑化合物係含碳化合物,其 中實例包含CF4及CH4。在某些實施例中,該保護劑化合物 係3氮化。物。在並未由—特定理論或機制限制之情況 下,據信該保護劑化合物與形成對蝕刻更具有抵抗力之 (例如)碳化物及氮化物之石夕/氧化石夕表面發生化學反應或提 供反應物物種,以與形成對姓刻更具有抵抗力之(例如)碳 化物及氮化物之矽/氧化矽表面發生化學反應。舉例而 言’ HF中之氮化#之姓刻速率比氧化物之钮刻速率小大約 5〇倍之-數量級’其中碳化物之钱刻速率大約比氮化物之 152834.doc 201137936 蝕刻速率小至少大約一數量級◦在某些實施例中,含氧化 合物可用作保護劑化合物,前提係該等化合物並非強氧化 劑。 在一特定實施例中,該剝離化學係H2/c〇2/NF3/Cf4,其 中例示性相對體積比率係。在一實施例 中,一比率係3000/32/15/100。總氣體流速、弱氧化劑、 含氟氣體、保護劑氣體之相對含量及該剝離腔室中之其他 條件可取決於(其他因素之中)電漿類型(下游對直接)、射 頻(RF)功率、腔室壓力、基板(晶圓)大小及所使用之弱氧 化劑、含戴氣體及保護劑之類型變化。基於N〇veUus
GammaTM系統中之一 3〇〇毫米晶圓,該總氣體流速可在大 約1,000 seem與大約40,000 sccm之間變化,其中二氧化碳 之流速係大約1 sccm及大約400 sccm,且RF功率將較佳地 在大約300瓦特至5,〇〇〇瓦特之間變化。腔室壓力將通常在 大約300毫托與大約2托之間變化(例如,大約8〇〇毫托與大 約1.6托之間)。 如下進一步討論,在某些實施例中,含氟氣體與保護劑 氣體之比率在某些製程序列中變化,以提供完全的光阻及 殘留物移除及低%損失。而且如下進—步討論,控制二氧 化碳或其他弱氧化劑以降低矽損失。 製程序列
S 在某二貫k例中,提供有效地移除該高 製程序列及殘留物移除。在某些實施例中,料製 涉及在製程序㈣間改變含氟氣體與料劑氣體之比率以 152834.doc •13· 201137936 提供必要移除。在某些實施例中,該等製程序列涉及使該 晶圓曝露於產自包括含氟氣體及保護劑氣體之一氣體之一 電漿之一操作,接下來係使該晶圓曝露於產自僅含敦氣體 之一電漿之一操作。在某些實施例中,此等操作可顛倒。 圖3 A及圖3B展示指示含氟氣體(NF3)及保護劑氣體(eh) 可用以減少殘留物並降低矽損失之實驗結果。圖3A展示一 殘留物計分,其依據NF3及CF4流速(其他化合物保持恆定 流速)指示殘留物含量。隨著流速增加,該殘留物歸因於 所增加的氟存在而降低。注意到,在該保護劑氣體並非含 氟化合物之情況中,該保護劑之曲線可變平。圖展示依 據NR及CF#流速之矽損失。矽損失隨增流速而增 加,這係歸因於藉由該電漿中之活化氟物種及/或含氟^ 合物蝕刻該表面。然而,矽損失在一固SNF3流速下(諸如 如圖3B上所指示之60 sccm)隨著增流速而降低。cl 回應之斜率取決於NFs流速而較高或較低,該斜率闡釋具4 有正確比率之重要性。如上所示,據信矽損失隨著較高 CF*流速(與增加氟氣通常所期望的eh流速相比)降低可能 係由於該基板表面處之含碳保護膜之形成。該保護膜可藉 由介於碳物種與該矽表面材料之間的一聚合反應而形成。 含氟保護氣體之使用容許該保護劑氣體同時提供一保護效 應以及(連同該含氟氣體)提供殘留物移除。 若單獨使用NF3(例如,在HVCOVNF3製程中),則可全 部移除该殘留但是會導致一不可接受的高矽損失。若 單獨使用cf4(例如,在H2/c〇2/CF4製程中),則移除製程可 152834.doc -14· 201137936 明顯較慢,且可能不能導致完全移除。根據各種實施例, 該製程包含使用NFS與eh之一組合之至少一操作。舉例而 ° 在一貫施例中,一後高植入劑量(post-HDI)晶圓可被置 入一剝離腔室中。在預熱該晶圓之後,引入h2/co2/cf4/nf3 乳體於該腔室中並撞擊一電漿。該晶圓係曝露於該電漿持 續足以清洗該結殼、塊體光阻及其他殘留物之一段時間。 在某些實施例中,該製程序列改變NF3(或其他含氟氣 體)及CF4(或其他保護劑氣體)之相對含量。取決於該光阻 之類型、植入離子及植入參數,可採用不同製程序列。以 下描述可用以移除該塊體光阻、該結殼及該濺鍍殘留物之 部分之序列或序列之部分,連同用於剝離各種後植入光阻 及殘留物形成之製程序列之實例。為簡單起見,以下描述 引用NF3及CF4,然而,應注意到,其他含氟氣體及保護劑 氣體可分別被用於此等組分氣體之任一者。在某些實施例 中,採用用於移除側面及頂部結殼之兩步驟製程,該製程 涉及NF3+CF4,接下來係僅NF3。(Ηζ及視需要c〇2係在幕 後運行用於所有剝離操作)。該兩步驟製程係用以移除該 側面結殼(NFg+CF4),接下來移除該頂部結殼(僅。該 NF3僅操作可涉及一氟「加料(spike)」或「叢發(burst)」, 其中該NF;流速係增加兩倍或兩倍以上。舉例而言,可應 用下列每站台流速:第一操作(侧面結殼):2至3公升/每分 鐘(lpm)H2,32 seem C02 ; 1〇〇 sccm cf4 ; 15 seem NF3。 第二操作(頂部結殼):2至3公升/每一分鐘; 32 seem C02 ; 0 seem CF4 ; 50 sccm NF3。應注意到,可取決 152834.doc •15- 201137936 於反應器之大小及組態、晶圓大小及劑量時間按比例增加 或降低所描述之該等流速。已發現在某些情況中僅使用 NF3,對於矽損失之一可接受位準,移除該頂部結殼,但 是並不移除該側面結殼。而且已發現在某些情況中使用 NF3+CF4,對於石夕損失之一可接受位準,移除該側面結殼 但是並不移除該頂部結殼。因此,使用如上之兩步驟處理 容許移除側面結殼及頂部結殼兩者。 圖4描述移除根據各種實施例之光阻及結殼之一製程流 程400。首先在一操作4〇1中,預熱該晶圓至足夠低以阻止 爆裂之一溫度,但是預熱該晶圓至足夠高以提供一可接受 的蝕刻速率之一溫度。根據各種實施例,該溫度可介於 200 C至400 C之間,更特定言之介於24〇。〇至35〇。匸之間 (例如285 C )。在操作403處,使該晶圓曝露於產自氫 氣一氧化石反、三氟化氮及四氟化碳(H2/C02/NF3/CF4)之 一電漿。產生該電漿大致上涉及引入該等組分氣體(其等 可預混合或並未預混合)至一電漿源中。根據本發明可使 用各種類型的電漿源,包含射頻(RF)、直流(DC)及基於微 波之電漿源。在某些實施例中]亥電漿係一遠端電漿源, 但是該電漿亦可係原位電漿源(即,在該剝離腔室中)。此 第操作可移除該側面結殼及該塊體光阻,同時使該表面 免又矽損失。接著在一操作4〇5中,關閉該CF4流動,且使 °亥aa圓曝露於僅產自氫氣、二氧化碳及三氟化氮 (2/C〇2/NF3)之—電漿。此操作移除該頂部結殼殘留物。 在私除副產物(未展示)之後,於一操作407處結束該製程, 152834.doc 201137936 且可移除該所清洗之晶圓。 在某些實施例中,除關閉該CF4之外或替代關閉該CF4, 必要時可藉由增加或降低該等流速來改變cf4/nf3比率。 舉例而言,在某些實施例中,CF4與NF3之一組合係用以移 除塊體光阻及/或側面結殼。將NF3加料於該製程中之各點 處以提供用於並不容易移除之殘留物之額外移除。加料 NF3可(或不可)涉及減小或改變該(:174流動。圖5展示根據 各種實施例之此一製程500之一實例。如同該先前實例, 首先在一操作501中預熱該晶圓。接著使該晶圓曝露於產 自h2/co2/nf3/cfk -電衆,並在一操作5〇3中加料叫。 此操作可移除結殼並免受矽損失。在某些實施例中,頂部 結殼及側面結殼兩者可被移除。接著,在一操作5〇5中, 該NF;流速降低且晶圓被曝露於產自出/⑺商匕㈣之一 電漿以用於該塊體光阻之移除。在一操作5〇7令,關閉該 CF4流動並使該晶圓曝露於產自之一電漿。” 需要在該操作期間加料該NF”此操作可為完全二任: 剩餘殘留物之-過剝離操作。過剝離指代超過移除所有2 阻標稱上所需的剝離製程之一繼續,且可涉及自 面剝離材料》在移除副產物(未 月, 結束該製程,且可移除該所清洗晶圓。 處 如所心不,取決於形成於該晶圓或其他工件上之特 一-言,可在該移除 困難的頂部結殼移除。可在 〇 以促進 在6亥製程之開始處移除該頂部結
S 152834.doc -17- 201137936 殼以防止爆裂之可能性❶接著可使用與CL組合之一較低 NF3流速執行塊體光阻移除。在某些實施例中,在移除該 塊體光阻之後加料NF3以促進鏈帶(stringer)移除。鏈帶係 藉由兩個鄰近曝露區域之間的非曝露區域保留之長的、窄 光阻殘留物片段。 在某些實施例中,在移除所有或部分該塊體光阻期間該 NF;與該CF4比率可視為一「基本(base)」.比率,並相對於 此比率量測加料。因此舉例而言,將塊體光阻移除期間之 一nf^cF4當作一「基本(base)」,根據各種製程序列,可 在该塊體光阻移除之前及/或之後使該比率上升。在一實 例中,該基本比率係3:2〇(例如,15 sccm NF3,i〇〇 swm CF4),其中一加料使該比率上升為1:2(5〇 NF;,1〇〇 seem CF*) ’或在eh並不存在之情況中,該基本比率係無 限大用於任思特定製程之實際流速及比率可變化;然 而,藉由改變該等相對流速及該比率,使用展示於圖3八及 3B中之效應可控制該移除製程。在某些實施例中,針對一 或多個操作僅可存在CF*且不存在NF;,然而,在許多實施 例中,已發現CF*提供容許該製程利ffiNF3之較高的移除速 率之充足保護,根據各種實施例,可採用1:5〇至1:2之一基 本比率,其中一加料高於該基本比率。在某些實施例中, 一 NF3加料可涉及至少兩倍於比率NF3 :CF4。 如上所不,在某些實施例中,一 C02排放氣體被採用並 且在任何吩候連同運行。已發現對於一 毫米晶圓, 使用1〇至15 lpm Η2(每站台2至3 lpm),運行於大約1〇〇 I52834.doc -18· 201137936 sccm-300 seem C02之間(每一站台20至60 seem)導致少於 超出此範圍之外的矽損失。這係展示於圖6中。圖6中之流 速經由一 5站台腔室反映總的C02 ;基於每一站台,該晶圓 經歷20 seem至60 seem C02,更特定言之32 seem。 製程參數 入口氣體 將通常包含分子氫之含氫氣體引入至該電漿源中。引入 至β亥電衆源之a亥氣體含有將被電離或以其他方式存在於該 電漿源中以形成一電漿之化學活性物種。引入至該電漿源 之該氣體包含含氟氣體(諸如元素氟、三氟化氫及六氟化 硫)。引入至該電漿源之該氣體包含保護劑氣體,通常係 含碳保護劑氣體。在某些實施例中,該保護劑氣體係碳氟 化合物氣體(諸如四氟化碳、CJ6或氫氟碳)。 在某些特定實施例中’引入至該電漿源之該氣體包括體 積比大約(M。/。至大約3%之間的四氟化碳及體積比大約 0U2%之間的三說化氮。引人至該電漿源之該氣體可 包含弱氧化劑(諸如二氧化碳、一氧化碳、二氧化氮、氮 氧化物及/或水)。在某些實施例中,該弱氧化劑係二氧化 碳。根據各種實施例,入口氣體可包含大約丨體積百分比 與99體積百分比之間、大約8G體積百分比與99.9體積百分 比之間或大約95體積百分比分子氫;大約0體積百分比盘 25體積百分比之間的C〇2或其他弱氧化劑;大約〇ι體積^ 分比與3體積百分比之間的三敦化氮或其他不含碳含就化 合物;及大約(U體積百分比與6體積百分比之間的四氟化 152834.doc -19· 201137936 碳或其他保護劑化合物。 —在某些實施财’進入該t漿源之該a體基本上由分子 氫、二氧化碳或其他弱氧化劑、不含碳含氟化合物及保護 J化口物所組成。在某些實施例中,#中在—製程序列中 之—或多個操作下關閉該保護劑氣體流動,進人該電聚源 之:軋體基本上由分子氫、二氧化碳或其他弱氧化劑及不 3反3氟化合物所組成。在其他實施例中,可將一額外— 或多個氣體添加至製程氣體。舉例而言,可添加一惰性氣 引入至該電漿源之該氣體可被預混合 .....-- 口r刀此令、或不 合:個別氣源在被引人至該«源之前可流動進入一混 柘C至。在其他實施例中,不同氣體可單獨進入該電 源。當在一多站台腔室之不同反應站台中使用引入至該 漿源之該氣體時,該氣體可具有不同組合物。舉例而士 在-6站台腔室中’站台1(或站台2,若站台“系用於則 或站台6可分別採用具有相對較高NF3含量之製程氣體⑴ 除该=或該殘留物。該等其他站台之_或多個可採用: 有少量或不含保護劑氣體之製程氣體。亦可使用不含二』 化碳或弱氧化劑之製程氣體。 - 使用具有弱氧化劑之基於氫之電聚剝離光阻及钱刻㈣ 之方法係揭示於美國專利第ms·號+,其全部内容^ 引用方式及針對户斤右a & # / 所有目的併入本文。剝離光阻及蝕刻殘g 物之後HDI方法係描述於美國專利公 0053則號,Α全邱^ A開案弟US_2009 八内各以引用方式及針對所有目的併/ 152834.doc -20· 201137936 電漿產生 根據本發明可使用各種類型的電漿源,其包含RF、DC 及基於微波之電漿源。在一較佳實施例中,使用一下游 電漿源。通常,一 300毫米晶圓之!^電漿功率在大約3〇〇瓦 特至大約10千瓦特之間變化。在一些實施例中,RF電漿功 率係在大約2000瓦特與5000瓦特之間(例如,35〇〇瓦特)。 喷淋頭總成 根據本發明之各種實施例,電漿氣體係經由一噴淋頭總 成分佈於加工表面。該噴淋頭總成可經接地或具有一經施 力:電壓(例如,0至1000瓦特偏壓)以吸引某些帶電物種,同 ¥不〜a中丨生物種至g亥晶圓之流動。該電漿中之許多帶電 物種在該喷淋頭處再組合。該總成包含該喷淋頭自身,該 喷淋頭可為具有引導該電聚及惰性氣體混合物進入該反應 腔室之孔之-金屬板。該喷淋頭經由—較大區域重新分佈 來自〇電漿m生氫,並容許使用—較小的電聚源。喷淋 -之數目及配置可經設定以最佳化剝離速率及剝離速率 均勻性》若該電漿源係位於該晶圓中心上方,則在該嘴淋 頭之中心的料噴淋頭孔係較佳地較小錢少以將活性氣 體推向外部區域。該噴淋頭可具有至少100個孔。合適的 頭包3可購自美國加州聖荷西市(San Jose, + ) vellusSystems,Ιη。之仏匪&㈣喷淋頭或Gj插入 、頭纟不存在噴淋頭總成之實施例中’該電漿直接進 入製程腔室。 £ 152834.doc •21· 201137936 製程腔室 製程腔室可為用於經執行之制離操作之任意適合的反應 腔至製私腔至可為一多腔室裝置之一腔室或製程腔室可 僅為一早一腔室裝置。該腔室亦可包含多個站台,在該等 多個站台中同時處理不同晶圓。製程腔室可為發生植入、 触刻或其他介人光阻處理之相同腔室。在其他實施例中, 一個別腔室係專供剥離之用。製程腔室壓力可自大約600 毫托至2托變化。在某些實施例中,該壓力自大約0.9托至 1.5托變化。 該製程腔室包含上面執行剝離操作之一或多個處理站 台。在某些實施例中,該一或多個處理站台包含一預熱站 台、至少一剝離站台及一過灰化站台。晶圓支標件係經組 心ス在處理期間支推晶圓。晶圓支撐件亦可在處理期間將 熱篁傳送至晶圓並自晶圓將熱量傳送出去以調整必要的晶 圓溫度。在某些實施例中,晶圓係支撐於複數個最小接觸 件上,且並未實體地接觸晶圓支撐件表面平面。一轉軸揀 取晶圓並將晶圓自一站台傳送至另一站台。圖8係展示適 合用於在晶圓上執行本發明之一下游電漿裝置8〇〇之態樣 之示忍圖。裝置800具有藉由一喷淋頭總成817分隔之一 電漿產生部分811及一曝露腔室8〇1。在曝露腔室8〇1内 部,一晶圓803置放於一平台(或置物台)8〇5上。平台8〇5係 裝配有一加熱/冷卻元件。在一些實施例中,平台8〇5亦係 經組態用於對晶圓803施加一偏壓。在曝露腔室8〇1中經由 一真空幫浦經由導管807得到低壓。氣態氫氣源(具有或不 I52834.doc •22· 201137936 具有稀釋/載流氣體)及二氧化碳(或其他弱氧化劑)經由入 口 809提供-氣體流進該裝置之電渡產生部分811。電聚產 生部分8U係部分藉由電感線圈813圍繞,該等電感線圈 813繼而係連接至—f源815。在操作期間,將氣體混合物 引入至電漿產生部分811令;給電感線圈813通電;並在電 漿產生部分811中產生一電漿。噴淋頭總成817可具有一經 施加電壓或經接地以指引物種流入至曝露腔室8〇1中。如 所提及,晶圓803可受控於溫度及/或可被施加—rf偏壓。 可使用各種組態及幾何形狀的該電漿源811及電感線圈 813。舉例而言,電感線圈813可依一交錯型樣環繞該電漿 源811。在另一實例中,該電漿源811可塑形為一半球形而 非圓柱开^。一控制器850可連接至該製程腔室之組件, 且匕制裝私氣體組合物、該剝離操作之廢力、溫度及晶圓 分度(wafer indexing)。機器可讀型媒體可耦合至該控制器 並含有控制此等操作之製程條件之指令。 合適的電漿腔室及系統包含美國加州聖荷西市(San
Jose,CA)Novellus Systems 公司提供之 Gamma 2100、2130 I2CP(交叉電感耦合電漿)、G400及GxT »其他系統包含來 自美國馬里蘭州Rockville市Axcelis Technologies公司之 Fusion線;來自韓國PSK Tech公司之TERA21 ;及來自美 國加州 Fremont 市 Mattson Technology 公司之 Aspen。此 外’各種剝離腔室可經組態於叢集工具上。舉例而言,一 剝離腔室可添加至可購自美國加州聖克拉拉市(Santa Clara, CA)之 Applied Materials之 Centura叢集工具。 152834.doc •23· 201137936 工件 j較佳實她例中,根據本發明之方法及裝置之工件係一 ^ 圓可使用任思大小的晶圓。大部分現代晶圓製 造設施使用200毫米或剔毫米晶圓。如上所揭示,本文所 揭不之製程及裝置在一處理操作(諸如蝕刻、離子植入戒 :積)之後剥離光阻。本發明係適合用於具有極小特徵或 "'二尺寸(例如,100奈米以下,65奈米或45奈米或小於45 奈米)之晶圓。所揭示之HDIS之低矽損失特徵尤其適合用 於極淺接面的先進邏輯!!件。本發明亦尤其適合用於經歷 别段工程(FEOL)離子植入(尤其係高劑量離子植入)之晶 圓。 活化電漿物種與晶圓上之光阻及濺鍍殘留物發生化學反 應。在晶圓處,反應性氣體可包含數目個活化電漿物種、 惰性氣體、基團、帶電物種及氣體副產物。各種氫物種之 體積濃度可為大約20%至80%於該晶圓處之氣體。各種氟 物種之體積濃度可為〇.01%至大約2%或小於1%。來自弱氧 化劑之各種物種之體積濃度可為0.05%至大約5%或大約 1.2%。此等材料可包含h2*、h2+、h+、H*、e_ '〇h、 〇*、CO、C02、H20、HF、F、F_、CF、CFACF3。 製程條件可取決於晶圓大小變化。在本發明之一些實施 例中’期望使工件在對其表面施加電漿期間保持在一特定 溫度°晶圓溫度可在大約1丨〇。〇與大約5〇〇。〇之間變化。為 減小上述光阻爆裂之可能性,晶圓溫度係較佳地緩慢增加 直到移除足夠多結殼且光阻爆裂不再受關注。初始站台溫 152834.doc -24- 201137936 度可為大約1HTC至大約260t,(例如)大約24(rc。愈後站 台可成功使用愈高溫度(諸如285t及大約35〇。〇及良好的 剝離速率。在某些實施例中,SNF3加料期間增加該溫度 以減小與此等加料關聯之矽損失。 例示性製程 如上所指示,在某些實施例中,一多站台剝離裝置係用 以執行本文所描述之光阻及殘留物剝離製程。圖7係展示 包含站台1、2、3、4、5及6之此一裝置之一俯視圖之—簡 單示意圖。晶圓經由腔室701進入該裝置站台!處;依序將 該等晶圓傳送至每一站台用於此站台處之一處理操作;且 該等晶圓在完成該製程之後經由腔室702自站台6退出。架 構在無高劑量植入剝離製程且低矽損失及TiN金屬閘極相 容性之情況下容許基於殘留物之氫氣。 例示性製程1 站台 1 操作 h2流率 (lpm) C02流率 (seem) nf3流率 (seem) CF4流率 (seem) re) 1 預熱 0 0 0 〇 I40 Z 結殼移除 2至3 32 15 100 3至5 /: 塊體光阻剝離 2至3 32 15 100 〇 過灰化及 殘留物清洗 2至3 32 50 〇 上述製程係包含站台6中之一 NF3加料之一製程序列之 實例。 152834.doc 201137936 例示性製程2 站台 操作 出流率 (1pm) C〇2流率 (seem) nf3流率 (seem) CF4流率 (seem) 溫度 fc) 1 預熱 0 0 0 〇 240 2 結殼移除 (2階) 2至3 32 15 100 240 50 100 3至5 塊體光阻剝離 2至3 32 15 100 285 6 過灰化及 殘留物清洗 2至3 32 50 〇 350 上述製程係包含於結殼移除期間在站台2中持續曝露時 間之一半之一 NF3加料之一製程序列之一實例。舉例而 言,一晶圓可在該站台中持續1 8秒,其中NF3加料持續9 秒。 例示性製程3 站台 操作 H2流率 (1pm) C〇2流率 (seem) NF3流率 (seem) 〇卩4流率 (seem) 溫度 (°C) 1 預熱 0 〇 0 〇 240 2 結殼移除(2階) 2至3 32 50 100 240 50 〇 3至5 塊體光阻剝離 2至3 32 15 100 285 6 過灰化及 殘留物清洗 2至3 32 50 〇 350 上述製程係在該站台2曝露時間期間之一點處關閉 CF4(例如)以輔助結殼移除一處理之一實例。 例示性製程4 站台 操作 H2流率 (1pm) C〇2流率 (seem) NF3流率 (seem) CF4流率 (seem) 溫度 CC) 1 預熱 0 〇 0 〇 240 2至5 塊體光阻剝離 及對側面結殼 移除殘留物 2至3 32 50 wo 240 3至5 塊體光阻剝離 2至3 32 15 100 285 6 過灰化及 殘留物清洗 2至3 32 50 〇 350 152834.doc -26- 201137936 上述製程序列提供展示藉由修改相對NF3與CF4流率可如 何控制剝離之實例。 雖然已就少數較佳實施例描述本發明,但是其應並不限 :上述八體、,.田卽。可使用该專上述較佳實施例上之許多變 化。因此’應參考下列專财請範圍大致解譯本發明。 【圖式簡單說明】 圖1Α至圖1D描繪離子植入及剝離操作前後之各種半導 體器件製造階; 圖2 A至圖2 D描繪根據該器件包含一金屬閘極之某些實 施例之離子植人及剝離操作前後之各種半導體器件製造 階; 圖3A展示依攀NF3流率及eh流率之殘留物; 4流率之矽損失; 之某些實施例之各種操作 之 圖3B展示依據NF3流率及CF 圖4及圖5係展示根據本發明 一程序製程流程圖; 圖6展不依據C〇2流率之石夕損失· 圖7展不適合用於執行本發明之態樣之一多站台循序架 構;及 本 圖8係展示適合用於執行本發明之諸態樣之一裝置之— 示意說明圖。 【主要元件符號說明】 1 站台 2 站台 3 站台
S 152834.doc „ 201137936 4 站台 5 站台 6 站台 101 半導體基板 103 光阻 104 開口 105 結殼層 107 下伏材料 109 摻雜區域 201 基板 203 塊體光阻 205 淺溝渠隔離區域 210 金屬閘極 214 離子植入波束通量 215a 頂部結殼 215b 側面結殼 216 重新濺鍍通量 701 腔室 702 腔室 800 下游電漿裝置 801 曝露腔室 803 晶圓 805 平台 807 真空幫浦通孔導管 152834.doc -28- 201137936 809 氣體通孔入口 811 電漿產生部分 813 電感線圈 815 電源 817 喷淋頭總成 850 控制器 S- 1528B4.doc -29-
Claims (1)
- 201137936 七、申請專利範圍: 1. 一種在一反應腔室中自一τ彼主 τ目工件表面移除材料之方法,該 方法包括: 自包括分子氫 '一不合石诗Α益# + 3奴含氟乳體及碳氟保護劑化合 物之一製ί王氣體混合物报占一墙 初形成一弟一電漿,其中該不含碳 3氣氣體及3亥兔氣保護逾丨介八私总、, 又劑化合物係以一第一體積流量比 率提供; 使該工件表面曝露於該第一電装以藉此自該工件表面 移除材料之一第一部分; 改變該不含碳含氟氣體與該碳敗保護劑化合物之該體 積流量比率以形成一第二電漿;及 使該工件表面曝露於該第二電漿以藉此自該工件表面 移除材料之一第二部分。 2·如Μ求項1之方法’其中該碳氟保護劑化合物係cf4、 c2f6、chf3、ch2f2、c3f8之一者。 3.如=求項2之方法,其中該碳氟保護劑化合物係cf4。 Θ求項1至3任一者之方法,其中該不含碳含氟氣體係 NF3、F2,、HF、或 SF6之一者。 月求項4之方法’其中該不含碳含氟氣體係NF3。 6· 如請求jg彳> + 之方法’其中自該工件表面移除之該材料包 括一高劑量植入光阻。 7. 如請求jg 1 > v ,, ^ 方法,其中該不含碳含氟氣體與該碳氟保 δ物之s亥第一體積流量比率係介於大約1:20至1.5 之間, ’ 且改I 5亥體積流量比率包括改變該比率為大約1:4 152834.doc 201137936 8. 至1:2之間。 如請求項1之方法 護劑化合物之該第 之間。 ,其中該*含碳含I氣體與該碳氣保 一體積流量比率係介於大約1:2〇至1:5 I =項1之方法,其中改變該不含碳含氣氣體與該碳 氟保護劑化合物之該體積流量比率以形成—第二電聚包 括:關閉該碳氟保護劑化合物之一流動。 10·如請求項1之方法 二氧化碳。 其中該製程氣體混合物進一步包括 η.如請求们之方法,其中在移除之後該工件實質上並益 心劑量植入光阻之殘留物,且其中該工件之一梦表面 損失少於大約2埃矽。 :求項11之方法’其中在移除之後該工件實質上並無 該高劑量植人光阻之殘留物,且其中該工件之—石夕表: 損失少於大約1埃發。 13· -種在一反應腔室中自一工件表面移除材料之方法該 方法包括: 自包括分子氫、不含礙含氟氣體及碳氣保護劑化合物 之一製程氣體混合物形成一第一電漿,及 使該工件表面曝露於該第一電漿以藉此自該工件表面 移除材料之—第—部分,且同時於該工件之一含矽表面 上形成一保護層。 如吻求項13之方法,其中該碳氟保護劑化合物係cF4、 C2F6、CHF3、ch2F2、C3f82 一者。 152834.doc 201137936 15. 如請求項13或14之方法,其中該不含碳含氟氣體係 NF3、F2, ' HF、或SF6之一者。 16. 如請求項13之方法,其中該碳氟保護劑化合物係ch且 該不含碳含氟氣體係NF3。 17. 如請求項13之方法,其中該製程氣體混合物進一步包括 一氧化碳。 18. 如請求項13之方法,其中在移除之後該工件實質上並益 该南劑量植人光阻之殘留物,且其中該卫件之 損失少於大約2埃矽。 ^ 19. Π=18之方法’其中在移除之後該工件實質上並盈 ::劑篁植入光阻之殘留物,且其中該工件之 才貝失少於大約1埃矽。 面 20. -種在一反應腔室中自—工件表 之方法,該方法包括: 劑夏植入先阻 移除該材料之一第一部分,包括: 將包括分子氫、弱氧化劑、不含 保護劑氣體之一第一廣氟乳體及碳氟 第&體?丨入至一電漿源中; 引入至該電漿源中 漿;及 使該 部分; 及 W第一氣體產生—第—電 之一第 電漿以移除該材科 及移除該材料之一第二部分,包括: 將包括刀子氫、弱氧化劍、不 上無碳氣保護㈣體之 & 3氣氧體且基本 152834.doc 第二氣體引入至—電漿源 s 201137936 中; 自引入至該電漿源中之該第二氣體產生一第二電 聚,及 使该工件曝露於一第二電漿以移除該材料之一第二 部分。 21. •種用於自—工件表面移除材料之裝置,其包括: 一反應腔室,其包括: 一電漿源, 置於6亥電漿源之下游之一噴淋頭,及 該噴淋頭之下游之一工件支撐件,該工件支撐件包 括一基座及控制支撐於該工件支撐件上之一工件之一 溫度之溫度控制機構;及 用於執行一組指令之一控制器,該組指令包括自包 括刀子氫、不含碳含氟氣體及一碳氟保護劑化合物之 一製程氣體混合物形成一第一電漿之指令,其中該不 含碳含氟氣體及該碳氟保護劑化合物係以一第一體積 流量比率提供; 使該工件表面曝露於該第一電漿以藉此自該工件表 面移除材料之一第一部分; 改支及不3 *反含氟氣體與該;5炭氟保護劑化合物之該 體積流量比率以形成一第二電漿;及 使該工件表面曝露於該第二電漿以藉此自該工件表 面移除材料之一第二部分。 152834.doc
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/636,582 US20110143548A1 (en) | 2009-12-11 | 2009-12-11 | Ultra low silicon loss high dose implant strip |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201137936A true TW201137936A (en) | 2011-11-01 |
TWI559363B TWI559363B (zh) | 2016-11-21 |
Family
ID=44143417
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW099143367A TWI559363B (zh) | 2009-12-11 | 2010-12-10 | 極低矽損失高劑量植入剝離 |
Country Status (7)
Country | Link |
---|---|
US (2) | US20110143548A1 (zh) |
JP (1) | JP5888652B2 (zh) |
KR (1) | KR101226411B1 (zh) |
CN (1) | CN102870198B (zh) |
SG (1) | SG171962A1 (zh) |
TW (1) | TWI559363B (zh) |
WO (1) | WO2011071980A2 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI697940B (zh) * | 2016-02-26 | 2020-07-01 | 美商得昇科技股份有限公司 | 使用icp剝離劑的分層植入型光阻剝離製程 |
Families Citing this family (40)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US8435895B2 (en) * | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
CN102652351B (zh) | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US10283615B2 (en) | 2012-07-02 | 2019-05-07 | Novellus Systems, Inc. | Ultrahigh selective polysilicon etch with high throughput |
US8916477B2 (en) * | 2012-07-02 | 2014-12-23 | Novellus Systems, Inc. | Polysilicon etch with high selectivity |
US8932406B2 (en) * | 2012-09-04 | 2015-01-13 | Matheson Tri-Gas, Inc. | In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
US9419211B2 (en) * | 2012-10-30 | 2016-08-16 | Tokyo Electron Limited | Etching method and substrate processing apparatus |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10872761B2 (en) * | 2018-06-25 | 2020-12-22 | Mattson Technology Inc. | Post etch defluorination process |
US10403492B1 (en) * | 2018-12-11 | 2019-09-03 | Mattson Technology, Inc. | Integration of materials removal and surface treatment in semiconductor device fabrication |
CN111341657A (zh) * | 2018-12-19 | 2020-06-26 | 夏泰鑫半导体(青岛)有限公司 | 等离子体处理方法 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
US11361976B2 (en) * | 2019-11-25 | 2022-06-14 | Tokyo Electron Limited | Substrate processing method and plasma processing apparatus |
US20220254660A1 (en) * | 2021-02-05 | 2022-08-11 | Linco Technology Co., Ltd. | Substrate processing apparatus |
Family Cites Families (215)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4201579A (en) * | 1978-06-05 | 1980-05-06 | Motorola, Inc. | Method for removing photoresist by hydrogen plasma |
US4357203A (en) | 1981-12-30 | 1982-11-02 | Rca Corporation | Plasma etching of polyimide |
US4699689A (en) | 1985-05-17 | 1987-10-13 | Emergent Technologies Corporation | Method and apparatus for dry processing of substrates |
US5292393A (en) * | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
US5158644A (en) | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
JPH0770524B2 (ja) | 1987-08-19 | 1995-07-31 | 富士通株式会社 | 半導体装置の製造方法 |
JPH0777211B2 (ja) | 1987-08-19 | 1995-08-16 | 富士通株式会社 | アッシング方法 |
US4961820A (en) | 1988-06-09 | 1990-10-09 | Fujitsu Limited | Ashing method for removing an organic film on a substance of a semiconductor device under fabrication |
US5354386A (en) | 1989-03-24 | 1994-10-11 | National Semiconductor Corporation | Method for plasma etching tapered and stepped vias |
US5122225A (en) * | 1990-11-21 | 1992-06-16 | Texas Instruments Incorporated | Selective etch method |
JPH05275326A (ja) | 1992-03-30 | 1993-10-22 | Sumitomo Metal Ind Ltd | レジストのアッシング方法 |
US5716494A (en) * | 1992-06-22 | 1998-02-10 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate |
JPH06208972A (ja) * | 1993-01-12 | 1994-07-26 | Matsushita Electric Ind Co Ltd | プラズマ処理方法 |
US5522932A (en) * | 1993-05-14 | 1996-06-04 | Applied Materials, Inc. | Corrosion-resistant apparatus |
EP0664347A3 (en) * | 1994-01-25 | 1997-05-14 | Applied Materials Inc | Plant for the deposition of a uniform layer of a material on a substrate. |
US5744049A (en) | 1994-07-18 | 1998-04-28 | Applied Materials, Inc. | Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same |
US5900351A (en) * | 1995-01-17 | 1999-05-04 | International Business Machines Corporation | Method for stripping photoresist |
JP2956524B2 (ja) * | 1995-04-24 | 1999-10-04 | 日本電気株式会社 | エッチング方法 |
US5817406A (en) | 1995-07-14 | 1998-10-06 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and brazing material connection |
US5633073A (en) * | 1995-07-14 | 1997-05-27 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and eutectic connection |
JPH0936099A (ja) * | 1995-07-19 | 1997-02-07 | Toshiba Corp | ドライエッチング方法 |
JP3585591B2 (ja) * | 1995-07-29 | 2004-11-04 | 株式会社半導体エネルギー研究所 | エッチング装置及びエッチング方法 |
US6187072B1 (en) * | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US6045618A (en) * | 1995-09-25 | 2000-04-04 | Applied Materials, Inc. | Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US6194628B1 (en) * | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6193802B1 (en) * | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US5792269A (en) | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
US5968324A (en) | 1995-12-05 | 1999-10-19 | Applied Materials, Inc. | Method and apparatus for depositing antireflective coating |
US5707485A (en) * | 1995-12-20 | 1998-01-13 | Micron Technology, Inc. | Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch |
JPH09205130A (ja) | 1996-01-17 | 1997-08-05 | Applied Materials Inc | ウェハ支持装置 |
US6013574A (en) * | 1996-01-30 | 2000-01-11 | Advanced Micro Devices, Inc. | Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines |
US5651860A (en) | 1996-03-06 | 1997-07-29 | Micron Technology, Inc. | Ion-implanted resist removal method |
US5660682A (en) | 1996-03-14 | 1997-08-26 | Lsi Logic Corporation | Plasma clean with hydrogen gas |
US5814155A (en) | 1996-06-26 | 1998-09-29 | Vlsi Technology, Inc. | Plasma ashing enhancement |
US6127262A (en) | 1996-06-28 | 2000-10-03 | Applied Materials, Inc. | Method and apparatus for depositing an etch stop layer |
US6083852A (en) | 1997-05-07 | 2000-07-04 | Applied Materials, Inc. | Method for applying films using reduced deposition rates |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US6129091A (en) | 1996-10-04 | 2000-10-10 | Taiwan Semiconductor Manfacturing Company | Method for cleaning silicon wafers with deep trenches |
US6562544B1 (en) * | 1996-11-04 | 2003-05-13 | Applied Materials, Inc. | Method and apparatus for improving accuracy in photolithographic processing of substrates |
US5911834A (en) * | 1996-11-18 | 1999-06-15 | Applied Materials, Inc. | Gas delivery system |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5830775A (en) | 1996-11-26 | 1998-11-03 | Sharp Microelectronics Technology, Inc. | Raised silicided source/drain electrode formation with reduced substrate silicon consumption |
US5811358A (en) * | 1997-01-03 | 1998-09-22 | Mosel Vitelic Inc. | Low temperature dry process for stripping photoresist after high dose ion implantation |
US6039834A (en) * | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6077764A (en) * | 1997-04-21 | 2000-06-20 | Applied Materials, Inc. | Process for depositing high deposition rate halogen-doped silicon oxide layer |
US6306564B1 (en) | 1997-05-27 | 2001-10-23 | Tokyo Electron Limited | Removal of resist or residue from semiconductors using supercritical carbon dioxide |
US6177023B1 (en) | 1997-07-11 | 2001-01-23 | Applied Komatsu Technology, Inc. | Method and apparatus for electrostatically maintaining substrate flatness |
JP3317209B2 (ja) | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JPH1187307A (ja) | 1997-09-05 | 1999-03-30 | Sony Corp | レジストの除去方法及びその除去装置 |
US5908672A (en) * | 1997-10-15 | 1999-06-01 | Applied Materials, Inc. | Method and apparatus for depositing a planarized passivation layer |
US6797188B1 (en) * | 1997-11-12 | 2004-09-28 | Meihua Shen | Self-cleaning process for etching silicon-containing material |
WO1999026277A1 (en) * | 1997-11-17 | 1999-05-27 | Mattson Technology, Inc. | Systems and methods for plasma enhanced processing of semiconductor wafers |
US6098568A (en) * | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6303523B2 (en) * | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6593247B1 (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6660656B2 (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
EP0940846A1 (en) | 1998-03-06 | 1999-09-08 | Interuniversitair Micro-Elektronica Centrum Vzw | Method for stripping ion implanted photoresist layer |
US6203657B1 (en) * | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US5980770A (en) | 1998-04-16 | 1999-11-09 | Siemens Aktiengesellschaft | Removal of post-RIE polymer on Al/Cu metal line |
US6432830B1 (en) | 1998-05-15 | 2002-08-13 | Applied Materials, Inc. | Semiconductor fabrication process |
US6086952A (en) | 1998-06-15 | 2000-07-11 | Applied Materials, Inc. | Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer |
US6800571B2 (en) | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US6277733B1 (en) | 1998-10-05 | 2001-08-21 | Texas Instruments Incorporated | Oxygen-free, dry plasma process for polymer removal |
US6342446B1 (en) * | 1998-10-06 | 2002-01-29 | Texas Instruments Incorporated | Plasma process for organic residue removal from copper |
US6171945B1 (en) * | 1998-10-22 | 2001-01-09 | Applied Materials, Inc. | CVD nanoporous silica low dielectric constant films |
US6245690B1 (en) * | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6107184A (en) | 1998-12-09 | 2000-08-22 | Applied Materials, Inc. | Nano-porous copolymer films having low dielectric constants |
US6121091A (en) | 1999-01-19 | 2000-09-19 | Taiwan Semiconductor Manufacturing Company | Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes |
JP3728165B2 (ja) * | 1999-01-28 | 2005-12-21 | キヤノン株式会社 | イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法 |
US6417080B1 (en) | 1999-01-28 | 2002-07-09 | Canon Kabushiki Kaisha | Method of processing residue of ion implanted photoresist, and method of producing semiconductor device |
US6130166A (en) | 1999-02-01 | 2000-10-10 | Vlsi Technology, Inc. | Alternative plasma chemistry for enhanced photoresist removal |
US6242350B1 (en) * | 1999-03-18 | 2001-06-05 | Taiwan Semiconductor Manufacturing Company | Post gate etch cleaning process for self-aligned gate mosfets |
US6204192B1 (en) * | 1999-03-29 | 2001-03-20 | Lsi Logic Corporation | Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures |
US6709715B1 (en) * | 1999-06-17 | 2004-03-23 | Applied Materials Inc. | Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
US6177347B1 (en) * | 1999-07-02 | 2001-01-23 | Taiwan Semiconductor Manufacturing Company | In-situ cleaning process for Cu metallization |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6767698B2 (en) | 1999-09-29 | 2004-07-27 | Tokyo Electron Limited | High speed stripping for damaged photoresist |
US6287643B1 (en) * | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US20050022839A1 (en) | 1999-10-20 | 2005-02-03 | Savas Stephen E. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
JP4221847B2 (ja) | 1999-10-25 | 2009-02-12 | パナソニック電工株式会社 | プラズマ処理装置及びプラズマ点灯方法 |
JP3366301B2 (ja) | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
US6352938B2 (en) | 1999-12-09 | 2002-03-05 | United Microelectronics Corp. | Method of removing photoresist and reducing native oxide in dual damascene copper process |
US6365516B1 (en) * | 2000-01-14 | 2002-04-02 | Advanced Micro Devices, Inc. | Advanced cobalt silicidation with in-situ hydrogen plasma clean |
US20010027023A1 (en) | 2000-02-15 | 2001-10-04 | Shigenori Ishihara | Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses |
JP2001308078A (ja) | 2000-02-15 | 2001-11-02 | Canon Inc | 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム |
US6184134B1 (en) * | 2000-02-18 | 2001-02-06 | Infineon Technologies North America Corp. | Dry process for cleaning residues/polymers after metal etch |
US6667244B1 (en) | 2000-03-24 | 2003-12-23 | Gerald M. Cox | Method for etching sidewall polymer and other residues from the surface of semiconductor devices |
US6409932B2 (en) | 2000-04-03 | 2002-06-25 | Matrix Integrated Systems, Inc. | Method and apparatus for increased workpiece throughput |
JP4470274B2 (ja) * | 2000-04-26 | 2010-06-02 | 東京エレクトロン株式会社 | 熱処理装置 |
JP4371543B2 (ja) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6426304B1 (en) | 2000-06-30 | 2002-07-30 | Lam Research Corporation | Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications |
US20020185226A1 (en) | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
DE10051380C2 (de) * | 2000-10-17 | 2002-11-28 | Advanced Micro Devices Inc | Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals |
US6569257B1 (en) * | 2000-11-09 | 2003-05-27 | Applied Materials Inc. | Method for cleaning a process chamber |
US6692903B2 (en) * | 2000-12-13 | 2004-02-17 | Applied Materials, Inc | Substrate cleaning apparatus and method |
US6733594B2 (en) | 2000-12-21 | 2004-05-11 | Lam Research Corporation | Method and apparatus for reducing He backside faults during wafer processing |
US6524936B2 (en) | 2000-12-22 | 2003-02-25 | Axcelis Technologies, Inc. | Process for removal of photoresist after post ion implantation |
US6479391B2 (en) | 2000-12-22 | 2002-11-12 | Intel Corporation | Method for making a dual damascene interconnect using a multilayer hard mask |
US20020127853A1 (en) * | 2000-12-29 | 2002-09-12 | Hubacek Jerome S. | Electrode for plasma processes and method for manufacture and use thereof |
US6319842B1 (en) | 2001-01-02 | 2001-11-20 | Novellus Systems Incorporated | Method of cleansing vias in semiconductor wafer having metal conductive layer |
US6589879B2 (en) * | 2001-01-18 | 2003-07-08 | Applied Materials, Inc. | Nitride open etch process based on trifluoromethane and sulfur hexafluoride |
US6777344B2 (en) | 2001-02-12 | 2004-08-17 | Lam Research Corporation | Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications |
CN1322556C (zh) * | 2001-02-15 | 2007-06-20 | 东京毅力科创株式会社 | 被处理件的处理方法及处理装置 |
US7186648B1 (en) * | 2001-03-13 | 2007-03-06 | Novellus Systems, Inc. | Barrier first method for single damascene trench applications |
US6764940B1 (en) | 2001-03-13 | 2004-07-20 | Novellus Systems, Inc. | Method for depositing a diffusion barrier for copper interconnect applications |
US6723654B2 (en) | 2001-03-30 | 2004-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer |
US6951823B2 (en) | 2001-05-14 | 2005-10-04 | Axcelis Technologies, Inc. | Plasma ashing process |
US6834656B2 (en) | 2001-05-23 | 2004-12-28 | Axcelis Technology, Inc. | Plasma process for removing polymer and residues from substrates |
US6875702B2 (en) | 2001-06-11 | 2005-04-05 | Lsi Logic Corporation | Plasma treatment system |
US6632735B2 (en) | 2001-08-07 | 2003-10-14 | Applied Materials, Inc. | Method of depositing low dielectric constant carbon doped silicon oxide |
US20030036284A1 (en) | 2001-08-16 | 2003-02-20 | Yu-Ren Chou | Method for removing the photoresist layer of ion-implanting process |
US6872652B2 (en) * | 2001-08-28 | 2005-03-29 | Infineon Technologies Ag | Method of cleaning an inter-level dielectric interconnect |
US20030045098A1 (en) * | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
JP4838464B2 (ja) | 2001-09-26 | 2011-12-14 | 東京エレクトロン株式会社 | 処理方法 |
JP5038567B2 (ja) | 2001-09-26 | 2012-10-03 | 東京エレクトロン株式会社 | エッチング方法 |
US6680164B2 (en) * | 2001-11-30 | 2004-01-20 | Applied Materials Inc. | Solvent free photoresist strip and residue removal processing for post etching of low-k films |
JP4326746B2 (ja) | 2002-01-07 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US6720132B2 (en) * | 2002-01-08 | 2004-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bi-layer photoresist dry development and reactive ion etch method |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US6848455B1 (en) * | 2002-04-22 | 2005-02-01 | Novellus Systems, Inc. | Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species |
US7074298B2 (en) | 2002-05-17 | 2006-07-11 | Applied Materials | High density plasma CVD chamber |
US6656832B1 (en) | 2002-07-25 | 2003-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties |
EP1536291A4 (en) | 2002-08-22 | 2008-08-06 | Daikin Ind Ltd | REMOVING SOLUTION |
US6900135B2 (en) * | 2002-08-27 | 2005-05-31 | Applied Materials, Inc. | Buffer station for wafer backside cleaning and inspection |
US6777173B2 (en) | 2002-08-30 | 2004-08-17 | Lam Research Corporation | H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip |
US6693043B1 (en) * | 2002-09-20 | 2004-02-17 | Novellus Systems, Inc. | Method for removing photoresist from low-k films in a downstream plasma system |
JP2004152136A (ja) * | 2002-10-31 | 2004-05-27 | Matsushita Electric Ind Co Ltd | データ更新システム、データ更新システムの差分データ生成装置及びプログラム、並びに更新後ファイル復元装置及びプログラム |
US6837967B1 (en) * | 2002-11-06 | 2005-01-04 | Lsi Logic Corporation | Method and apparatus for cleaning deposited films from the edge of a wafer |
US6787452B2 (en) | 2002-11-08 | 2004-09-07 | Chartered Semiconductor Manufacturing Ltd. | Use of amorphous carbon as a removable ARC material for dual damascene fabrication |
KR100476136B1 (ko) | 2002-12-02 | 2005-03-10 | 주식회사 셈테크놀러지 | 대기압 플라즈마를 이용한 표면처리장치 |
US6780782B1 (en) | 2003-02-04 | 2004-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bi-level resist structure and fabrication method for contact holes on semiconductor substrates |
US20040195208A1 (en) | 2003-02-15 | 2004-10-07 | Pavel Elizabeth G. | Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal |
CN100444308C (zh) * | 2003-05-07 | 2008-12-17 | 亚舍立技术公司 | 宽温度范围的卡盘系统 |
US20040237997A1 (en) | 2003-05-27 | 2004-12-02 | Applied Materials, Inc. ; | Method for removal of residue from a substrate |
KR100542031B1 (ko) | 2003-05-30 | 2006-01-11 | 피에스케이 주식회사 | 반도체 제조공정에서의 포토레지스트 제거방법 |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7256134B2 (en) * | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
US7799685B2 (en) | 2003-10-13 | 2010-09-21 | Mattson Technology, Inc. | System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing |
US6924239B2 (en) | 2003-10-14 | 2005-08-02 | Texas Instruments Incorporated | Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation |
US20050097923A1 (en) * | 2003-11-12 | 2005-05-12 | General Electric Company | System and support rod assembly for sintering fiber optic sleeve tubes |
US20050106888A1 (en) * | 2003-11-14 | 2005-05-19 | Taiwan Semiconductor Manufacturing Co. | Method of in-situ damage removal - post O2 dry process |
US7361605B2 (en) | 2004-01-20 | 2008-04-22 | Mattson Technology, Inc. | System and method for removal of photoresist and residues following contact etch with a stop layer present |
US20050158667A1 (en) | 2004-01-20 | 2005-07-21 | Applied Materials, Inc. | Solvent free photoresist strip and residue removal processing for post etching of low-k films |
JP2005268312A (ja) | 2004-03-16 | 2005-09-29 | Semiconductor Leading Edge Technologies Inc | レジスト除去方法及びそれを用いて製造した半導体装置 |
US20050221020A1 (en) | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
JP4421609B2 (ja) | 2004-03-31 | 2010-02-24 | 富士通マイクロエレクトロニクス株式会社 | 基板処理装置および半導体装置の製造方法、エッチング装置 |
US7628864B2 (en) | 2004-04-28 | 2009-12-08 | Tokyo Electron Limited | Substrate cleaning apparatus and method |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US7632756B2 (en) * | 2004-08-26 | 2009-12-15 | Applied Materials, Inc. | Semiconductor processing using energized hydrogen gas and in combination with wet cleaning |
JP2006073612A (ja) | 2004-08-31 | 2006-03-16 | Rohm Co Ltd | レジスト除去方法 |
US7597816B2 (en) | 2004-09-03 | 2009-10-06 | Lam Research Corporation | Wafer bevel polymer removal |
US20060051965A1 (en) | 2004-09-07 | 2006-03-09 | Lam Research Corporation | Methods of etching photoresist on substrates |
US7169623B2 (en) | 2004-09-09 | 2007-01-30 | Tegal Corporation | System and method for processing a wafer including stop-on-aluminum processing |
US20060102197A1 (en) | 2004-11-16 | 2006-05-18 | Kang-Lie Chiang | Post-etch treatment to remove residues |
US7202176B1 (en) * | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
KR100607777B1 (ko) * | 2004-12-27 | 2006-08-01 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조 방법 |
DE102004063036A1 (de) | 2004-12-28 | 2006-07-06 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Ausbilden von Kontaktflecken |
US7601272B2 (en) | 2005-01-08 | 2009-10-13 | Applied Materials, Inc. | Method and apparatus for integrating metrology with etch processing |
US7344993B2 (en) * | 2005-01-11 | 2008-03-18 | Tokyo Electron Limited, Inc. | Low-pressure removal of photoresist and etch residue |
US7268071B2 (en) | 2005-01-12 | 2007-09-11 | Sony Corporation | Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping |
US7432172B2 (en) * | 2005-01-21 | 2008-10-07 | Tokyo Electron Limited | Plasma etching method |
JP2006203035A (ja) | 2005-01-21 | 2006-08-03 | Tokyo Electron Ltd | プラズマエッチング方法 |
JP2006221772A (ja) | 2005-02-14 | 2006-08-24 | Fuji Photo Film Co Ltd | ディスク状情報媒体の製造方法 |
US7198677B2 (en) | 2005-03-09 | 2007-04-03 | Wafermasters, Inc. | Low temperature wafer backside cleaning |
US8129281B1 (en) * | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
JP2006351594A (ja) | 2005-06-13 | 2006-12-28 | Toshiba Ceramics Co Ltd | 半導体ウェーハの電気特性の測定方法 |
JP2007019367A (ja) | 2005-07-11 | 2007-01-25 | Ricoh Co Ltd | 半導体装置の製造方法 |
JP5011852B2 (ja) | 2005-07-20 | 2012-08-29 | 富士通セミコンダクター株式会社 | 電子デバイスの製造方法 |
US7411298B2 (en) * | 2005-08-17 | 2008-08-12 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) | Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices |
US7468326B2 (en) | 2005-08-24 | 2008-12-23 | United Microelectronics Corp. | Method of cleaning a wafer |
US7465680B2 (en) | 2005-09-07 | 2008-12-16 | Applied Materials, Inc. | Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2 |
US7909960B2 (en) * | 2005-09-27 | 2011-03-22 | Lam Research Corporation | Apparatus and methods to remove films on bevel edge and backside of wafer |
JP2007109744A (ja) | 2005-10-11 | 2007-04-26 | Tokuyama Corp | 基板洗浄液 |
KR100742279B1 (ko) | 2005-12-22 | 2007-07-24 | 삼성전자주식회사 | 반도체 소자의 제조 장치 및 방법 |
KR20070069802A (ko) | 2005-12-28 | 2007-07-03 | 엘지.필립스 엘시디 주식회사 | 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법 |
US7432209B2 (en) | 2006-03-22 | 2008-10-07 | Applied Materials, Inc. | Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material |
US8034176B2 (en) | 2006-03-28 | 2011-10-11 | Tokyo Electron Limited | Gas distribution system for a post-etch treatment system |
US7851369B2 (en) * | 2006-06-05 | 2010-12-14 | Lam Research Corporation | Hardmask trim method |
US8124516B2 (en) | 2006-08-21 | 2012-02-28 | Lam Research Corporation | Trilayer resist organic layer etch |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US7655571B2 (en) * | 2006-10-26 | 2010-02-02 | Applied Materials, Inc. | Integrated method and apparatus for efficient removal of halogen residues from etched substrates |
US7595005B2 (en) | 2006-12-11 | 2009-09-29 | Tokyo Electron Limited | Method and apparatus for ashing a substrate using carbon dioxide |
DE102006062035B4 (de) | 2006-12-29 | 2013-02-07 | Advanced Micro Devices, Inc. | Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement |
US8083963B2 (en) | 2007-02-08 | 2011-12-27 | Applied Materials, Inc. | Removal of process residues on the backside of a substrate |
US8435895B2 (en) * | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
JP5332052B2 (ja) | 2007-06-01 | 2013-11-06 | シャープ株式会社 | レジスト除去方法、半導体製造方法、及びレジスト除去装置 |
KR101440282B1 (ko) * | 2007-07-11 | 2014-09-17 | 주성엔지니어링(주) | 플라즈마 세정 방법 |
US20090061623A1 (en) * | 2007-09-05 | 2009-03-05 | United Microelectronics Corp. | Method of forming electrical connection structure |
JP5192214B2 (ja) | 2007-11-02 | 2013-05-08 | 東京エレクトロン株式会社 | ガス供給装置、基板処理装置および基板処理方法 |
WO2009099660A2 (en) | 2008-02-08 | 2009-08-13 | Lam Research Corporation | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
JP5102653B2 (ja) | 2008-02-29 | 2012-12-19 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 |
US20090277871A1 (en) | 2008-03-05 | 2009-11-12 | Axcelis Technologies, Inc. | Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process |
US20090277472A1 (en) | 2008-05-06 | 2009-11-12 | Novellus Systems, Inc. | Photoresist Stripping Method and Apparatus |
US8791001B2 (en) * | 2008-09-08 | 2014-07-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | N2 based plasma treatment and ash for HK metal gate protection |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US20120024314A1 (en) | 2010-07-27 | 2012-02-02 | Axcelis Technologies, Inc. | Plasma mediated ashing processes |
US20100130017A1 (en) * | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
US8268722B2 (en) | 2009-06-03 | 2012-09-18 | Novellus Systems, Inc. | Interfacial capping layers for interconnects |
WO2011008436A2 (en) * | 2009-07-13 | 2011-01-20 | Applied Materials, Inc. | Method for removing implanted photo resist from hard disk drive substrates |
TWI634642B (zh) | 2009-08-07 | 2018-09-01 | 半導體能源研究所股份有限公司 | 半導體裝置和其製造方法 |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
CN102652351B (zh) | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
US8415212B2 (en) | 2010-03-11 | 2013-04-09 | Freescale Semiconductor, Inc. | Method of enhancing photoresist adhesion to rare earth oxides |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9613825B2 (en) * | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
-
2009
- 2009-12-11 US US12/636,582 patent/US20110143548A1/en not_active Abandoned
-
2010
- 2010-12-08 KR KR1020117012215A patent/KR101226411B1/ko active IP Right Grant
- 2010-12-08 SG SG2011040862A patent/SG171962A1/en unknown
- 2010-12-08 JP JP2012543229A patent/JP5888652B2/ja active Active
- 2010-12-08 WO PCT/US2010/059388 patent/WO2011071980A2/en active Application Filing
- 2010-12-08 CN CN201080056124.1A patent/CN102870198B/zh active Active
- 2010-12-10 TW TW099143367A patent/TWI559363B/zh active
-
2015
- 2015-05-26 US US14/721,977 patent/US9564344B2/en active Active
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI697940B (zh) * | 2016-02-26 | 2020-07-01 | 美商得昇科技股份有限公司 | 使用icp剝離劑的分層植入型光阻剝離製程 |
Also Published As
Publication number | Publication date |
---|---|
US9564344B2 (en) | 2017-02-07 |
CN102870198A (zh) | 2013-01-09 |
KR20110100196A (ko) | 2011-09-09 |
US20150332933A1 (en) | 2015-11-19 |
US20110143548A1 (en) | 2011-06-16 |
KR101226411B1 (ko) | 2013-01-24 |
WO2011071980A2 (en) | 2011-06-16 |
CN102870198B (zh) | 2017-05-31 |
SG171962A1 (en) | 2011-07-28 |
JP2013513946A (ja) | 2013-04-22 |
WO2011071980A3 (en) | 2011-09-01 |
JP5888652B2 (ja) | 2016-03-22 |
TWI559363B (zh) | 2016-11-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201137936A (en) | Ultra low silicon loss high dose implant strip | |
KR101770008B1 (ko) | 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정 | |
TWI414040B (zh) | 氮化硼與硼-氮化物衍生材料的沉積方法 | |
US9941108B2 (en) | High dose implantation strip (HDIS) in H2 base chemistry | |
TWI541062B (zh) | 乾式剝離硼-碳膜的方法 | |
TW201137970A (en) | Low damage photoresist strip method for low-k dielectrics | |
TWI423323B (zh) | 光阻剝離室及蝕刻基材上光阻之方法 | |
TW200818306A (en) | Etch method in the manufacture of an integrated circuit | |
JP6579953B2 (ja) | 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法 | |
JP2009021584A (ja) | 高k材料ゲート構造の高温エッチング方法 | |
WO2006028858A2 (en) | Methods of removing photoresist on substrates | |
TWI497235B (zh) | 於基於氫氣之化學物中的高劑量植入剝離法 | |
US20050054209A1 (en) | Plasma treatment method to reduce silicon erosion over HDI silicon regions | |
KR20030022272A (ko) | 반도체 구조에서 텅스텐 또는 텅스텐 질화물 전극게이트를 에칭하는 방법 | |
US20070269975A1 (en) | System and method for removal of photoresist and stop layer following contact dielectric etch | |
TW200303053A (en) | A high selectivity and residue free process for metal on thin dielectric gate etch application |