TW201120235A - Batch CVD method and apparatus for semiconductor process - Google Patents

Batch CVD method and apparatus for semiconductor process Download PDF

Info

Publication number
TW201120235A
TW201120235A TW099122857A TW99122857A TW201120235A TW 201120235 A TW201120235 A TW 201120235A TW 099122857 A TW099122857 A TW 099122857A TW 99122857 A TW99122857 A TW 99122857A TW 201120235 A TW201120235 A TW 201120235A
Authority
TW
Taiwan
Prior art keywords
gas
valve
batch
processing
source gas
Prior art date
Application number
TW099122857A
Other languages
English (en)
Other versions
TWI516631B (zh
Inventor
Toshiyuki Ikeuchi
Masayuki Hasegawa
Toshihiko Takahashi
Keisuke Suzuki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201120235A publication Critical patent/TW201120235A/zh
Application granted granted Critical
Publication of TWI516631B publication Critical patent/TWI516631B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

201120235 六、發明說明: 申案ί fit專日利案第獅]71557號之優先權,其 t 年月 其部内容係以參考文獻之方式合併 【發明所屬之技術領域】 ^發明侧於-種批次CVD(化學氣她積)方法和設備 種用以在目標物(例如半導體晶圓)上形成產物膜之Ϊ j處理技術。本文所述之「轉體處理」包含各種處理,盆藉 ,在目標物上之預定圖案中形成半導體層、絕緣層和導電芦'、= 勿上製造半導體裝置或具有與半導體裝置相連接丄佈線 ;D(平=其,例如目標物為半導體晶圓或用於 D(千面顯不态,例如LCD(液晶顯示器))之玻璃基板。 【先前技術】 如主ίϊ造用以組成半導體積體電路之半導體裝置中,目標物(例 ΐίίΐ晶圓)會經過各種處理,例如薄膜形成、侧、氧化^ =重整。可在單基板式薄獅成設 ” G9福93射之觸和減式細‘^備2= ^膜=:請案公開號第2_嶋號中之設備)中執行此 H為概要展示f知批次CVD設備之結構圖。例如,當形 w之1曰將t ί ΐ ”地容納有目標物或半導體晶圓 曰曰圓舟4載入垂直式處理容器2中。將晶圓w夢由 =3周圍之加熱器6加熱到一預定溫度丄 ί、ί通^源氣體和反應性氣體(例如臭氧)。將這^氣體', 氣/ SA 谷益2内部垂直延伸之分佈噴嘴8和10上的一些嘴 與8A和10A,通入處理容器2中。再者,藉由 办6 ίίίίίίΤΐί理内部空間經由形成在處^容器 - 氣口 2 -空排氣。在這些狀況下,在維持於預定慶力 201120235 下之處理容器2内部執行用以形成氧化石夕薄膜之處理。 半導體積體電路之增加微型化和整合的需求, 謹输織$,細敝照re 方4理方法。例如’有—種馳形成處理之CVD 接一 膜形成,同時間歇地通人來源氣體等等,以-層 Ϊ材:ΐίί層的方式重覆形成各具有原子或分子等級厚度 軸處則做勘(料層沉積< 非常) ’其允賴行一預定處理而不會將晶圓暴露在 當使甩如圖5所示之設備,使氧化石夕薄
t 10B
度’用以控14B以調整其閘闕開口 W L6 f示使用如圖5所示之設備,閘閥狀態與在其中以ALD 矽源氣體,使ί此源乳體切換閥8B設定為開啟以通入 之反應步驟T13中、,將W表面上。在如圖6⑼所示 反應性氣體或臭ί,使^fti換閥1GB設定為開啟以通入 相作用,因此形成si2 &氧晶圓表面上之石夕源氣體互 閥設定為開啟以從處理容T14中,將排氣 氣體或者是反應性氣體。°内補出耽體,且不通入石夕源 藉由執行-次循環,可形成具有原子或分子等級厚度之薄 201120235 膜。藉由分別重複此循環數次而形成之薄膜經層疊而形成具有預 定厚度之產物膜。在一個循環中,每一個吸附步驟T11和反應步 驟T13之時間長度為約60秒,且每一個排氣步驟T12和T14之時 間長度為約10秒。此批次CVD方法允許執行此處理而不會將晶 圓暴露在非常高之溫度下。然而,如以下所述,本案發明人已發 現此種批次CVD方法就一些關於薄膜品質、生產率、和來源氣體 消耗量之特性而言,尚有進步空間。 【發明内容】 本發明之一目的為提供一種批次CVD方法和設備,其具有關 於薄膜品質、生產率、和來源氣體消耗量之改善的特性。 依據本發明之第一態樣,提供一種半導體處理用之批次 CVD(化學氣相沉積)方法’用於批次cvd設備中’此設備包含垂 直式長處理容器,配置來容納複數個目標物;支座,配置來在處 理容器内部之垂直方向間隔地支托目標物;來源氣體供應系統, 配置來通入來源氣體至處理容器中,此來源氣體供應系統包含用 以調整來源氣體供應之來源氣體閥;反應性氣體供應系統,配置 來通入反應性氣體至處理容器中,此反應性氣體供應系統包含用 以調整反應性氣體供應之反應性氣體閥;以及排氣系統,配置來 從,理谷器内部排出氣體,此排氣系統包含用以調整排氣速率之 排氣閥,預設此方法以重複一循環複數次,用以層疊各次所形成
和机叫5又疋馮開啟而從處理容 虱體通入處理容器中,同時. 器内部排出氣體;接著,反 201120235 1驟_1用以造成反應性氣體與吸附於目標物上之來源氣體互相 ^、:藉,將來源氣體閥保持關閉而不將來源氣體通入處理容器 中二Τ 藉由1?反應性氣體閥設定為開啟而將反應性氣體通入處 i藉由將排氣閥設定為從預定開啟狀態逐漸減小其閘 j ϋ ”理容11内部排出氣體;以及接著,第二中間步驟, 部移除剩餘氣體’藉由將來源氣體閥和反應性 將來源氣體或者是反應性氣體通入處理容器 時之=氣閥之問闕開口度設定為大於在反應步驟結束 夺排,閥之閘閥開口度而從處理容器内部排出氣體。 第二態樣,提供—種電腦可讀儲存媒體,其包 Ϊα二二Ίτ之程式指令,#處理器執行程式指令時,程式 設備吨行如第—祕所敎抖體處理用 ’提供—種半導體處理用之批次 複數^長處理容11,配置來容納 Γ此氣Ϊ供應系統,配置來通人反應性氣體至處ΐίί 性氣體閥應系統包含用以調整反應性氣體供應之反鹿 ==;;=容=:氣體,此排氣 ίί備中控制部被預設來執行批方ΐ置 二厚===膜,且藉此在‘ 將來源氣體吸附至目桿物上,^=包έ :吸附步驟,用以 間設定為開啟然後再設定來源氣】來源氣,在第-期 =;藉由將反應性』==== =二i藉由二iT關閉而不從處理容; 者第中間步驟,用以從處理容器内部移除剩餘氣 201120235 將來職_和反應性氣體聽閉而不 或者疋反應性氣體通人處理容器中,同時藉 閥—、或” ,從處理容器内部排出氣體;接著,反應步驟, 性氣體與爾於目標物上之來職體互相反應 ^ 二 y保持關閉而不將來源氣體通入處理容器中,同 氣體閥設定為開啟而將反應性氣體通人處理容器中,3且葬: 内丨排出乳體,以及接者,第二中間步驟,用以從 移除剩餘氣體’藉由將來源氣體閥和反紐氣 ==口?設定為大於在反應步驟結束時之== 口度而從處理容器内部排出氣體。 本發明之額外的目的和優點將於以下敘述中提出’且i 由敘述看來應為顯而易知,或可由實施本發明而知悉 = 所特別指出之設備和組合當可了解和獲知本發明之目的和9優點。 【實施方式】 獲得以下之結果 在發展本發明之過程中’發明人業已研究有關對此種半 處理用之習知齡CVD方法及設備的問題。因此,本案發明人已 刖述參照圖5和6之方法為依據習知概念所安排,以致 吸附步驟T11巾通人雜氣料,較佳是在晶圓表面或之上形成 石夕源氣體流動’因為被·在日日日1]表社之㈣氣體的量會因此 而增加。由於此原因,在吸附步驟T11中(如圖6(Q所示),將真空 排氣系統14之排氣閥14B設定為部份程度的開啟,例如約3〇%之 閘閥開口度’以便於在-定程度上排域體而在晶圓表面或之上 形成石夕源氣體流動°在此情況中’由於絲源氣體奴為約1〇到 500 seem(每分鐘之標準立方公分)的流速,處理容器2内部之壓力 會逐漸且線性增加,如圖6(D)所示。 另-方面,在反應步驟T13中,將排氣閥14β設定為比上述之 201120235 吸附步驟Til更大的開口,例如約60%之閘閥開口度,以便於有效 地促使反應發生。在此情況中,由於將臭氧設定為約20 slm(每分 鐘^標^公升)的流速(其遠大於矽源氣體之流速),處理容器2内部 之壓力藉由臭氧供應會先瞬間增加並且呈飽和一段時間,然後會 逐1降低。再者’在排氣步驟T12和T14中,將排氣閥14B設定為 1〇〇%之閘閥開口度,以執行強制真空排氣,以便於從處理容器2 内部迅速地移除剩餘氣體。在所有步驟之整個期間皆保持真空泵 16的運作。 ’ 然而,依照上述之習知方法,將排氣閥14B設定為一定程度的 開啟以,持在吸附步驟T11期間之石夕源氣體流動。因此,矽源氣體 大量雜’制切職體價格P卩貴,而使運作成本變得相 畠而〇 再者,在反應步驟T13中,先將處理容器2内部壓力藉由臭氧 =應而增加至如圖6(D)所示之P1點,對臭氧來說此壓力極高,、 ,可輕易使臭氧去活化,同時喪失其活性。於是,由於不當之自、 乳,應’在晶圓之财部份上薄細彡齡充分地進行,但在晶g 部伤上則無法充分地進行,因此惡化薄膜厚度之平面均 1二特別是,當晶圓表面包含溝槽結構時,這會帶來顯著的負載 此3效應會AA地增加臭氧消耗量,同時會使晶圓中心 1刀之、旱極小。就這方面的對策可為設定處理容器2内部壓力 Ϊ ft應步驟T13中之壓力,但此對策並不可取,因為沉積速Ϊ θ Ik者壓力降低而大量的降低,因此降低生產率。 、 A 之結果所達狀本發明的實補將參照隨附之圖式 下敎述中,具有實質上相同之功能和配置的組ί 同=同^彡考數字所標示,且僅在需要時會做重複的敘述。 -備田展二^康本發明實施例之批*cvd設備的結構圖。此 j精由使用包含3DMAS(三-二甲胺基梦烧)之來源氣體(苴 與包含臭氧之反應性氣體(其為氧化氣體別'是‘ 和〇2之氣體混合物):來形成薄膜或氧化石夕(Si〇2)膜。 3 如圖1所不’此薄膜形成設備2〇包含處理容器a,在其中處理 201120235 被界定來容納和處理健直方向間隔堆疊之複數個半導體晶 圓(目物)。處理容器22具有包含内管24和外管26(兩者皆為垂直 式長,)的雙f結構。内管24之形狀為具有鮮和開放之底部的圓 柱狀物,處理場23被界定於其中。外管26之形狀為具有頂罩和開 放之底部關柱狀物’且以介於⑽管間之—預定職來圍繞内 管24。内管24和外管26係以石英製成。 處理谷态22之直徑為(例如)約4〇〇到5〇〇 mm,用以容納直徑 300 _胃之晶圓W。處理容器22之内容量取決於欲載入其中之晶圓 w的數量,且被設定為(例如)約200公升以容納最多15〇個晶圓w。 外管26底部經由密封組件3〇(例如〇型環)氣密式地連接至由 (例如^不銹鋼製成之圓柱狀歧管28。外管26底部由歧管28支撐,同 時歧管28由基座板(圖中未示)支撐。歧管28包含由其内表面延伸之 環支撐件32,内管24底部被固定在環支撐件32上。由石英製成之 支托器或晶圓舟34被放置在處理容器22之内管24内部。 將晶圓舟34牙過歧管28底部向上和向下移動,因此將晶圓舟 34載入處理容器22之處理場23中或是從其中卸下。將一些目標物 或半導體晶圓W堆疊在晶圓舟34上。例如,在此實施例中,晶圓 舟34可在垂直方向依基本上固定之間隔來支托(例如)直徑3〇〇 _ 之約50到1〇〇個晶圓。 將晶圓舟34經由石英製成之隔熱圓柱件4〇放置在檯座42上。 檯座42由旋轉軸44(其穿過由(例如)石英或不銹鋼製成之蓋體36)所 支托,並用以打開/關上歧管28底埠。蓋體36中旋轉軸44穿過的部 份裝配有(例如)磁液軸封46 ’以便以氣體密封狀態將旋轉軸44可旋 轉地支撐住。將密封組件38(例如〇型環)插入蓋體36周圍和歧管28 底部之間,以便能將處理容器22内部保持密封。 將旋轉轴44連接在由升降機構48(例如晶舟升降機)支撐之器 臂50末端。升降機構48將晶圓舟34和蓋體36 —同向上和向下移 動。再者’當旋轉軸44由内建於器臂50之旋轉機構驅動時,會轉 動晶圓舟34,因此晶圓舟34上之晶圓亦會對延伸通過其中心之縱 軸做旋轉。然而,可將檯座42固定於蓋體36 ’以便不旋轉晶圓舟 201120235 34而處理晶圓w 將隔熱套管51配置在處理容n22關 ί Γί二用以加熱處理容器22内之環境與晶圓s S 性傻由奴線(其不會造成污染且具有良好之升溫和降溫特 控制加熱&、電偶(圖十未示)配置在處理容器22之内管24内部以 —將氣體供應部與歧㈣㈣連接以通人預 氣 當量的載體氣體(例如触)混合。然而,以下為了= 可為蘇右、ίΐίϊίί提及此等載體氣體。清除氣體或載體氣體 可為稀有乳體(例如Ar或He),來取代ν2氣。 _ί、、主=別疋’來源氣體供應系統54、反應性氣體供應系統56、 和>月除氣體供應系統58分別包含氣體分佈喷嘴6()、64和68,其 個疋由從歧官28外部穿過其側壁然後轉彎並在内管24内部向上 延伸之石英管所形成(見圖1}。氣體分佈喷侧、64和68分別 孔60A、64A和68A ’每—組喷氣孔以預定間隔形成在 遍及日曰圓舟34上所有晶圓W之縱向(垂直方向)上。每一組喷氣孔 =、64A和68A依水平方向幾乎一致地輸送相對應之氣體,以便 根據需要而形成與晶圓舟34上之晶圓w成平行之氣流。 喷嘴60、64和68分別經由氣體供應管線(氣體通道)62 、66和 70 別與3^MAS氣、〇3氣和N2氣之氣體源54S、56S和58S連接。 氣體供應官線62、66和70分別裝配有流速控制器62八、66A和 70A(例如質流控制器),以及切換閥62B、66B和7〇B。依此配置, 可依控制之流速通入3DMAS氣、〇3氣和N2氣,並選擇性地通入和 停止。 12 201120235 師ί嘴6〇、64,68聚集在内管24内部之一側(雖然由於圖面空間 /丨/ 其圖1展不喷嘴68是配置在相對於其它喷嘴60和64之另一 Ϊ嘴6^624^具有細狀餘之職孔72,其形成在相對於 ΐΐ Ρ、Λ和之另一側上’且依垂直方向排列。依水平方向在 峡罐,糧歸繼24和外管% ,管28有戦於其纽_管24和外找之間齡節蝴 之排。排氣口76細置以對處理容||22内部真空排氣之直 go統。A空排氣系統78具有與排氣口 76連接之排 疯^線80 )其裝配有用以從處理容器22内部真空排氣之 82 ’以及藉由改變關開口度糊整處理容器22内部壓力之^ 閥継。排氣閥麵不僅用以隨意調整間 二 全=狀態和完全關閉狀態之間做切換,以徹底結束^氣用/在疋 繼更包含由(例如)電腦形成之主控制部84,以控 薄膜厚度和欲形成之薄膜成分, 存其儲存部86中之處麵方,來執行以下所述之批 ΪΪ的關中,製程氣體流速與薄膜厚度和成分之 係亦被事先儲存為控制資料。因此,主控制部84可基 存之處理配朴控制資料’來鋪升降機 、 碟更碟u代表例為包含於儲存部86中 腳等等)、磁光碟_等等)、和半導體記憶域CD、 接著,將對執行於如圖!所示之設備中的 f巧動薄膜形成)進行說明。在此批次CVD方法Lt 薄膜,由ALD或MLD形成於半導體晶圓上。為達此目標,、將 石夕源氣體之3DMAS氣與作為氧化反應性氣體之臭氧㈣氣選性 容納J晶圓W之處理場23。鱗擇性供應用^交)替地g 稷數二人吸附步驟(吸附3DMAS氣體於晶圓w表面上 ^ (導致片臭氧氣與吸附於晶圓w表面上之3DMAS氣體皮1而 形成氧化㈣膜。制是,將薄膜形成處理與町操作-同^于。 13 201120235 當薄膜形成設備20被設定為待機狀態而沒有載入其中之半導 體曰^圓W時,將處理場23維持在低於製程溫度之溫度。當啟動處 理,i首先,將處於室溫之晶圓舟34(其支托一些(例如5〇個)半導 體晶圓^從下方載入處於預定溫度之處理場23(處理容器22處於' 熱壁狀態)中。然後’將處理容器22之底部開口由蓋體 , 氣體密封處理容器22。 一接著,將處理場23真空排氣使處理場23處於預定製程壓力。 然後,•提高施加於加熱器52之功率以加熱處理場23到薄膜形成處 ^之製程溫度。將處理場23穩定於製程壓力和製程溫度之後,將 薄膜形成所需之預定製程氣體通入處理場23中。在此實施例中, 將3DMAS氣體和臭氧氣體健歡錢,分臟氣體供應系統54 和56·^噴嘴60和64通入處理場23中。然後,調整真空排氣系統% 之排氣閥80B的閘閥開口度以控制處理容器22内部之壓力。 曰。從氣體分佈噴嘴60之喷氣孔60A通入3DMAS氣體,以形成與 晶圓舟上之晶圓臂成平行之氣體流。當通入3〇]^8氣體時, =>mas氣體會藉由加熱處理場23之溫度而被活化,且31)]^8氣體 分子與其由分解作用產生之分解產物的分子和原子會被吸附在晶 圓W上。 ,另一方面,從氣體分佈喷嘴64之喷氣孔64A通入臭氧氣體,以 形成與f曰,舟34上之晶圓W成平行之氣體流。當通入臭氧氣體 日=六'氧氣體會藉由加熱處理場23之溫度而被活化,且臭氧氣體 分子與其由分解作用產生之分解產物的分子和原子,會與衍生自 3DMAS氣體且吸附於晶圓w上的分解產物等等互相反應。'因此, 在晶上形成氧化矽薄膜。或者,當衍生自3DMAS氣體的分解 ,物等等,流到衍生自臭氧氣體且吸附於晶圓霄上的分解產物等 等上,,會產生相同的反應,因此在晶圓w上形成氧化矽薄膜。 、友,由從處理容器2内部排出氣體且不通入3DMAS氣體或者是 臭氧氣,,在上述之吸附步驟和反應步驟之間執行排氣步驟。.然 後’在每個排氣步驟中,若有需要,則將作為清除氣體之处氣^ 控制之流速從氣體供應系統58之噴嘴68通入。流經處理場23之氣 201120235 體成分經由通氣孔72而流入内管24和外管26之間的間隙74。然 後,藉由真空排氣系統78將這些氣體成分經由位於外管26底部之 排氣口 76而排出。 圖2展示使用如圖1所示之設備,閘閥狀態與在其中以ald來 形成氧化矽薄膜之處理容器内部壓力之間的關係。圖2(A)展示石夕 源氣體之切換閥62B的狀態;圖2(B)展示反應性氣體之切換閥66B 的狀態;圖2(C)展示真空排氣系統之排氣閥80B的狀態(閘閥開口 度);以及圖2(D)展示處理容器内部之壓力。 如圖2所示’依據此實施例安排薄膜形成方法,使得依序包含 有吸附步驟T1、排氣步驟T2、反應步驟T3、和排氣步驟T4之循環 被重複數次。安排吸附步驟T1以設定矽源氣體之切換閥62B為開 啟,如圖2(A)所示,用以執行3DMAS氣體通入,以使衍生自此氣 體的分解產物等等吸附於晶圓W表面上。安排反應步驟T3以設定 反應性氣體之切換閥66B為開啟,如圖2(B)所示,用以執行臭氧氣 體通入,以導致此氣體與吸附於晶圓表面上的分解產物等等互才'目 ^應,以便於形成Si〇2薄膜。安排每個排氣步驟T2和T4以從處理 容器2内部排出氣體而不通入3DMAS氣體或者是臭氧氣體。在所 有步驟T1到T4之整個期間皆保持真空泵82的運作。 、^有原子或分子等級厚度的薄膜可藉由上述之一次循環而形 成。,由分別重複此循環數次而形成之薄膜被層疊,因此形成具 有預定厚度之產物膜^在一次循環中,每個吸附步驟打和反應步 驟丁3之時間長度為2到12〇秒,例如約6〇秒。每個排氣步驟丁2和T4 之時間長度為2到20秒,例如約1〇秒。可將排氣步驟丁2和丁4省略以 增進薄膜形成處理的生產率。 之切是^安排吸附步驟τι ’如圖2(a)所示,將石夕源氣體 〇 先在預疋期間伢設定為開啟,然後設定為關閉,以便 系、通入石夕源氣體。此時,如圖2(c)所*,將真空排氣 排氣閥細在整個吸附步驟間均保持關閉。此吸附步驟 14ΒΛ於^知f之吸附步驟T11,在吸附步驟T11中,將排氣閥 、駄閘閥開口度保持開啟以真空排氣,如圖6(c)所示。因 15 201120235 Λ 附步驟T1繼續進行,以致石夕源、氣體(犯购 3 1122内部科會糾,同時此㈣氣體被吸 :ί:=ίί:ί。在此情況中’即使矽源氣體的流速較低, θ促進夕源氣體在晶圓表面上之吸附作用。 ΛΑ"!將土述預火期間11之時間長度設定為吸附步驟T1之時間長声 $,50/〇,且較佳是為5至3〇% (例如,u等於相對於τι範圍^ ίίϊΤΐί 1、。再者,會浪費矽源氣體,因為矽源氣體在晶 附侧會飽和。此時將鶴氣體之流速奴為約10 s,。在吸附步驟Tlt,先將處理容器22内部壓力快 Ϊ厭ΐί Alt之切_62卿請時此壓力變為定值。此i 力為(例如)約667 Pa,雖然其取決於矽源氣體之流速。 牛驟吸附步驟Ή結束之後,開始第一排氣步驟T2。在此 购㈣,且糖紙m排賴趣設定為 理容1122㈣快速地翻剩餘氣體。_,此時 氣?,2氣通入以促進剩餘氣體之移除。在此排: y驟中,處理谷态22内部之壓力會快速降低(圖2(D))。 然後,反應步驟T3開始。在此步驟中,如圖2⑻所示 ,將反應性氣體之切換_B設找開啟以‘ °此時’如®2(Q崎’先贿錄㈣統之排 =声ΐίΐΐ啟,然後隨著反應步驟Τ3的進行逐漸減小其閘閥 H 應步驟Τ3有別於習知方法的反應步驟Τ13,在反應步 持Η啟真空排氣系統之排氣閥14Β依約6〇%之閘閥開口 ^保 持開啟,如圖6(C)所示。 面絲贱錄碰會躲_體歧附於晶圓表 面上之梦源碰互減應,目此形成賴 可在臭氧產生器(_㈣中由氧氣來產生上述之=氧^中 體積比混合在02氧體中,且此等ί體混^物 ί = 體。在反齡驟Τ3巾,在㈣氣步驟Τ2 後之弟i讀間t3,先將真雜氣紐之魏剛⑽縣完全開 16 201120235 啟、,然後立刻減小其閘閥開口度至一預定程度(例如50%),且進一 步逐漸且線性地減小其閘閥開口度至另一預定程度(例如2〇%)。在 此情況中,將反應性氣體或臭氧之流逮設定為約2〇slm,其遠大於 上述之矽源氣體流速。 、 就習知方法而言,如圖6(D)所示之pi點所指出,快速的壓力 增加會出現且帶來顯著的負載效應。另一方面,安排依據本發明
例之方法的反應步驟丁3,以便將真空排氣系統之排氣閥8〇B 只在第一預定期間13設定為完全開啟,然後立刻減小其閘閥開口度 至約50%,且進一步逐漸減小其閘閥開口度。因此,防止處理容器 22内部壓力產生快速增加,並使此壓力幾乎為線性且逐漸地增 加,如圖2(D)所示。例如,在此反應步驟期間之最大壓力為約133 pa(l托爾)。 如上所述,安排反應步驟T3,以便先將真空排氣系統之排氣 閥麵設定為完全開啟’織逐漸減小其問關讀。在此情況 中了士曰加此壓力而不會造成顯著的負載效應,因為反應步驟T3 可以防止快速的壓力增加(例如P1點所示,其會帶來此等負載效 ,)。因此,會促進反應性氣體或臭氧與吸收於晶圓表面上之矽源 氣體的反應。換句話說’由於沒有高壓狀態,會防止臭氧被去活 化且延長其使用壽命。因此,會抑制此負载效應並且保持高沉積 速率。再者,可保持晶圓表面上之薄膜厚度的高平面均性,以 及增加生產率。 將_^述預定期間t3之時間長度設定為反應步驟T3之時間長度 的1至50/〇」且車父佳是為5至3〇% (例如,圮等於相對於丁^範圍内的2 至60秒)。若預定期間〇大於5〇%,處理容器22内部壓力的增加會 被過f抑制j因此阻礙臭氧反應並且沉積速率會變得不樂見的 低。吾人應當了解,在真空排氣系統之排氣閥80B操作中途出現的 5〇%和2〇%閘閥開口度(圖2(c))僅為範例。閘閥開口度之最佳值是 依照臭氧和销氣體之反應速轉決定。然而,在反齡驟τ3中, 閥咖之閘閥開°度的最大值設定為與用於排氣步 鄉〒之排乳閥_之閘閥開口度相同(例如100〇/〇),且其最小值為 17 201120235 2%以上。 牛驟反f步驟T3結束之後’開始第二魏步㈣。在此 ^氣體’且將真空排氣系統之排氣閥娜設定為 處理容1122内部快速剩餘氣體。細,此時可 3 氧體以促_餘·之移除。在排氣步驟 薄膜r 谷=内部堡力會快速減低(圖2(D))。藉由這樣做,將 度之薄膜或氧化矽膜。 、傾而朕坪 ㈣^上^實ΐ;例中,排氣閥麵之閘閥開σ度包含完全開啟狀態 =閥開口度=100%)以及完全關閉狀態(閘間開口度=〇%)。然而, 貫際上使用之排氣閥80Β的閘閥開口度為90%以上處理22 氣傳導&乎與完全開啟狀態所獲得之排氣傳導相同而未^變 夕再者,虽閘閥開口度小於2%,對處理容器22的排氣傳導幾 乎與兀全關閉狀‘悲'所獲得之排氣傳導相同而未改變太多。因此, 在上述實施例中,排氣閥80Β的「完全開啟狀態」可替換為「9〇 閘閥開σ度」。再者,排氣閥觀的「完全關狀態」可 替換為「0至20%之閘閥開口度」。 再者,在上述貫施例中,如圖2(C)所示,將排氣閥8〇β只在反 應步驟Τ3中之預定期間t3設定為完全開啟。圖从和犯展示反應步 驟,兩個修正’其就真空排氣系統之排氣閥之閘闊開口度的改變 而淪。依據圖3A所示之修正’在預定期間t3中將閘閥開口度從〗〇〇% 線性改變至50%。依制3崎示之修正,在反齡驟期間將 閘閥開口度從100%線性改變至20〇/〇。這些關於關開口度改變的 修正亦僅為範例。 再者,可依曲線或梯狀線改變閘閥開口度,例如,逐步改變 開口度數次。了重點在於’在反應步驟丁3開始時將排氣閥8〇B之閘 閥開口度設定為較大,如此可防止處理容器22内部壓力在開始時 增加,因此不會造成負載效應。若有需要,反應步驟丁3可包含將 作為載體氣體之惰性氣體(例如N2氧或稀有氣體)與反應性氣體一 起通入。 18 201120235 保持麵時,先將來體供應系統54 由、士揭:㈣J^·定期間設定為開啟’然後立刻設定為關閉。藉 入?I哭Sti體(?如作為石夕職體之3D囊氧體)暫時通 各二二:在目標物上吸附來源氣體。在此反應步驟中’ 二,中時’先將真空排氣系統78之排氣閥_設定為 i(例如開口度,如此以導致反應性氣 體;繼',時及:顯生著 〈貫驗〉 為了評估依據上述實施例之批次CVD方法,進行以 5。,本案範例PEt,依據如圖2所示之方法在如則所示之設備 ί i在晶圓上形成氧化賴。在本案範例即中,使用3DMAS氧體 卞為石夕源氣體’以及制臭氧氧體(氧氣與1()%體積百分比之 的氣體混合物)作為反紐舰。·餘度設定為55(rc,而將製 程>1力(最大值)設定為1.2心將吸附步驟Ή之時間長度設定為不 同的值,但設妙源氣體之切換閥62B為開啟的預定期则則固定 為7#少。/另一方面,反應步驟T3之時間長度固定為7秒,且設定真 空排氣系統之排氣閥80Β為完全開啟之預定期間t3固定為2秒。使 用吸附步驟T1之時間長度作為改變在吸附步驟打中將矽源氣體之 切換閥62B設定為關閉之時間的參數,即保持時間H(=T1 _u)。 再者,在對照範例CE中,依據圖6所示之方法在圖丨所示之設 備中,除了3DMAS氧體流速和閘閥操作以外,依與本案範例1>£相 同之條件在晶圓上形成氧化石夕膜。特別是,在對照範例CE中,將 3DMAS氧體/瓜速3又疋為大於本案範例pE之流速的四倍,且將吸附 步驟之時間長度設定為30秒。 19 201120235 軸標此實驗之結果。在圖4中,橫轴標示保持時間,且縱 積速率1如圖4所示,於其#通人X克犯囊氧 太宏狄:、、;已'’呈現約每循環〇.13nm的沉積速率。另一方面, 輯著縣日销增加瞻_狀沉積速率,即使 為約伽、陆克之幸t小量的3DMAS氧體。在此情況中,當保持時間 ^、、V時’此沉積速率幾乎與對照範例CE之沉積速率相同。因 卩使將麵氣驗速舰為1/4,躺職持時間設 率:換即I獲得高於對照範例CE或與其幾乎相等的沉積速 p弓,可::ί,猎由依據本發明之實施例之方法來操作個別的閘 i目同減低來源氣體流速’同時維持與對照範例CE幾乎 ⑽ϊί ’使關案化晶®就上述之本絲WE和對照範例CE之 加以檢驗。「圖案化晶圓」意指「藉由形成部份之電路圖 ㈣上具有突出部和凹陷部之晶圓」。由於圖案化晶圓之氣 於平面晶圓’負載效應可顯著地發生。根據檢驗的結 ^對照齡仰在_化晶圓上的薄膜厚度呈現約±43%的平面 二性。本案範例PE則在圖案化晶圓上的薄膜厚度呈現約士3.8% 自性。因此’已發現可藉由絲本發明之實施例的方法 來增進在圖案化晶圓上之薄膜厚度的平面均勻性。 〈修正> 在上述實施例中,矽源氣體為3DMAS氧體。或者,矽源氣體 可選自於其它胺基矽烷有機氣體,例wBTBAS (二(三級丁胺基)矽 烧,bistertialbutylaminosilane)、4DMAS (四(二甲胺基)矽烧广、和 DIPAS(二異丙胺基石夕院,diisopropylaminosilane)。 在上述實施例中,反應性氣體為作為氧化氣體之臭氧氧體。 或者,反應性氣體可選自於其它氧化氣體,例如〇2、N2〇*n〇。 再者,如日本專利申請案公開號第2〇〇5_175441號所揭露,可使用 在133 Pa以下之低壓下所產生的氧自由基和羥自由基。 在上述貫施例中,氧化石夕薄膜藉由批次Cyj)方法來形成。或 者’本發明可被應用在用以形成其它薄膜(例如氮化矽(SiN)薄膜或 20 201120235 氮氧化石夕(SiON)薄膜)之批次cvd方法。當形成氮化石夕薄膜時,石夕 源氣體可為DCS (二氣發烧,dichloro silane)、HCD (六氯二石夕烧, hexachloro disilane)、或TCS (四氯矽烷,tetrachloro silane);且^應 性氣體可為NH3(例如)。當形成氮氧化矽薄膜時,矽源氣體可為g 基石夕炫有機氣體’且反應性氣體可為〇3、〇2、N2O、NO或(例 如)。 在上述實施例中,是在包含具有雙管結構之處理容器22的批 次處理设備中執行批次CVD方法。或者,本發明可應用在包含具 有單管結構之處理容器的批次處理設備中。 曾本文提及之作為目標物的半導體晶圓包含石夕基板和化合物半 f體基板,例如GaAs、SiC或GaN。再者,本發明可應用在其它目 標物^列如用於LCD裝置之玻璃基板或陶瓷基板。 >热悉本技藝者當可輕易思及額外的優點與修正。因此,本發 明就其較廣泛之態樣並未限制於本文所敘述和展示之特定細節以 施例。所以,在未偏離峨附之㈣專繼圍及其均 所定義之通常發明概念之精神或範疇下,可做各種的修正。 【圖式簡單說明】 $皮併入且構成本說明書之一部份的隨附圖示,說明本發明之 解般敘述與上述實制之詳細敘述,用以 ^ ^概要展示依據本發明實施例之批次cv〇S備的結構圖; 央展碰用如圖1所示之設備,關狀態與在其中以ALD 氧化矽薄膜之處理容器内部壓力之間的關係; 排翁Ρ^Α和3B展示反齡驟_個修正,其就真空排氣系統之 排氧閥之閘閥開口度的改變而論; 圖4展示與實施例相關之實驗的結果; _ 5概要展示習知批次CVD設備之結構圖;以及 來开® 5所示之賴’閘閥狀態與在其中以ALD "成氧化料膜之處理容H⑽壓力之間_係。 21 201120235 【主要元件符號說明】 2處理容器 4晶圓舟 6加熱器 8分佈喷嘴 8A喷氣孔 8B矽源氣體切換閥 10分佈喷嘴 10A喷氣孔 10B 反應性氣體切換閥 12排氣口 14真空排氣系統 14B排氣閥 16真空泵 20薄膜形成設備 22處理容器 23 處理場 24 内管 26 外管 28歧管 30 密封組件 32環支撐件 34晶圓舟 36蓋體 38 密封組件 40隔熱圓柱件 42檯座 44 旋轉軸 46 磁液軸封 201120235 48升降機構 50器臂 51隔熱套管 52加熱器 54來源氣體供應系統 54S 3DMAS5之氣體源 56反應性氣體供應系統 56S 〇3之氣體源 58 清除氣體供應系統 58S N2之氣體源 60氣體分佈喷嘴 60A噴氣孔 62 氣體供應管線 62A流速控制器 62B切換閥 64氣體分佈喷嘴 64A喷氣孔 66 氣體供應管線 66A流速控制器 66B 切換閥 68氣體分佈喷嘴 68A喷氣孔 70氣體供應管線 70A流速控制器 70B 切換閥 72通氣孔 74間隙 76排氣口 7S真空排氣系統 80排氣管線 201120235 80B排氣閥 82真空泵 84 主控制部 86儲存部 Η保持時間 W晶圓 Τ1 吸附步驟 Τ2排氣步驟 Τ3 反應步驟 Τ4排氣步驟 Τ11 吸附步驟 Ή2排氣步驟 ΊΓ13 反應步驟 Τ14排氣步驟 tl預定期間 t3預定期間

Claims (1)

  1. 201120235 七 1. 、申請專利範圍: =種半導齡_之批次CVD(化學氣概積)方法,用於一批 二人CVD設備中,該設備包含 一垂直式長處理容器,配置來容納複數個目標物; 支托^物配絲在輸容㈣㈣直方向上間隔地 —:來賴體供應系統,配置來通人-來聽體至該處理 來源氣體供應系統包含用以調整該來源氣體供 之一來源氣體閥; 性氣體供應系統’配置來通人—反應性氣體至該 性_供應系統包含用以調整該反應性 軋體供應^了反應性氣體閥;以及 褒备統’配置來從該處理容器内部排出氣體,該排 乳系統包含用以調整-排氣鱗之—排賴; 之薄複一娜複數次,用以層疊各次所形成 膜,該循標物上形成具有—預定厚度之一產物 時藉由將該來源氣體吸附至該目標物上,同 中;ilm亥反H’而將該來源氣體通入該處理容器 至該處理容iiV「且通人該反應性氣體 容器内部排i氣體;猎將該排氣閥保持關閉而不從該處理 餘氣2者藉由m’t ’用以從μ理容器内部移除剩 不將和?反應性氣體閥保持關閉而 時葬由蔣辞妯疋該反應性氣體通入該處理容器中,同 體广K 定相啟而從該處理容㈣部排出氣 目標S之;’用以造成該反應性氣體與吸附於該 之该為讀互相反應,藉由將該來職體閱保持 25 201120235 應性體通人該處理容11巾’同時藉由將該反 中,,又疋為開啟而將該反應性氣體通入該處理容哭 閘閥排氣閥設定為從i定開啟狀態逐漸減小其 句開二*而,該處理容器内部排出氣體;以及 餘氣ϊ考益二中間步驟,用以從該處理容器内部移除剩 二關閉而 η生f , L版必嘗疋孩反應性軋體通入该處理容器中,同 朗之關^ 口度設絲大於在該反應步驟結 、U排氧閥之閘閥開口度而從該處理容器内部排出氣 2. ^申請專利範圍s 1項所述之半導體處理用之批:欠CVD方 腺二所述之在該吸附步驟中將該排氣閥保持關閉係定義為 將邊排氣閥之閘閥開口度設定為〇至2〇/〇。 3·如申請專魏圍第1項所述之轉體處糊之批次CVD方 ί i其中該第一和第二中間步驟包含將一惰性氣體通入該處理 盗中。 4. 如申請專利範圍第1項所述之半導體處理用之批次CVD方 士,其中該第一和第二中間步驟不包含將任何氣體通入該處理 容器中。 5. 如申請專利範圍第1項所述之半導體處理用之批次Cvd方 法’其中該第一期間之長度係在該吸附步驟長度之1到5〇%的 範圍内。 6. 如申請專利範圍第1項所述之半導體處理用之批次CVD方 法’其中在該反應步驟中之該排氣閥的該預定開啟狀態係由先 將該排氣閥在一第二期間保持在該預定開啟狀態而獲得。. 26 201120235 7.如申請專利範圍第6項所述之半導體處理用之批次CVD方 法,其中該第二期間之長度係在該反應步驟長度之丨到5〇% 範圍内。 8. 如申請專利範圍第6項所述之半導體處理用之批次CVD方 法,其中在該第二期間中之該排氣閥之該預定開啟狀態係與用 於該第一中間步驟中之該排氣閥之開啟狀態相同。 9. 如申請專利範圍第1項所述之半導體處理用之批次CVD方 法,其中該反應步驟不包含將該排氣閥設定為關閉。 10. 如申請專利範圍第9項所述之半導體處理用之批次CVD方 法,其中在該反應步驟中之該排氣閥之閘閥開口度之最大值係 與,於該第-巾間步驟中之該排制之閘_ 口度_,且該 排氣閥之閘閥開口度之最小值係2%以上。 如申睛專利範圍第1項所述之半導體處理用之批次cvD方 去,其中該反應步驟不包含將該反應性氣體閥設定為關閉。 12’如申請專概g第1項所述之轉體處則之批次cyD方 ^,其中該吸附步驟之時間長度為2到12〇秒;該反應步驟之 時間長度為2到no秒;且每一健第一和第二中間步驟之時 間長度為2到20秒。 龜 13·如申請專利範圍第12項所述之半導體處·之批次CVD方 $ ’其中$第〆期間之時間長度為2到6G秒,其係在該吸附 步驟時間長度之1到50%的範圍内。 14.如申請專補圍第I2項所述之半導體處_之減CVD方 27 201120235 法’其$在該反應步射之該排賴之顧賴啟狀態係由先 氣閥在-第二綱保持在該預定開啟狀態而獲得,其中 ΐϊ一期間之時間長度為2到60秒,其係在該反應步驟時間 長度之1到50%的範圍内。 15, 如冑請專概圍第1項所叙半導麟理用之批次 CVD方 / ,,、中該產物膜係選自於由一氧化矽薄膜、一氮氧化矽薄 膜、和一氮化矽薄膜所構成之群組。 16. Ϊ申利範圍第15項所述之半導體處理用之批次CVD方 令該來源氣體包含一秒源氣體,選自於由三(二甲胺基) 俨二二ϊΐ 丁胺基)魏、四(二甲胺基)石夕燒、二異丙胺基石夕 A -fl钱、六氣二魏、和四氯魏所構成之群組。 巧利範圍第15項所述之半導體處理用之批二欠CVD方 _所==,_=自於由。3、。2,。*和 巧申=利範圍第i項所述之半導體處理用之批:欠CVD方 二之異構成之群組;且該反二:: CVD方Ϊ申明專利乾圍第1項所述之半導體處理用之批次 包含: 20· -種半導體處_之批次⑽(化學氣相沉積)設備, 28 201120235 -,直式長處理容器,配置來容納複數個目標物 一支座,配 支托該目標物 犯置來在該處理容n内部之垂直方向上間隔地 一。:來應系統,配置來通入-來源氣體至該處理 二來源氣it體供應系統包含用以調整議 處理統’配置來通入—反應性氣體至該 祕含⑽罐該反應性 議體’該排 二用以將該來源氣體吸附至該目標物上,同 ^該^氣制為關,而將該來; iiil容器:!;且藉由將該排氣閥保持關閉而不從 餘氣ΐ者二H間步驟,用以從該處理容器内部移除剩 來源氣體閥和該反應性氣體閥保持關閉而 日原氣體或者是該反應性氣體通入該處理容器中,同 ^猎由將該排賴設定為而從該處理容㈣部排出氣 曰揭ί著’—反應步驟’肋造成該反應性氣體與吸附於該 關心上之該來源氣體互相反應,藉由將該來源氣體閥保ί寺 ,閉而不將該來源氣體通人該處理容器中,同時藉 寺 %、性氣體閥設定糊啟而將該反應性氣體通人該處理以器 29 201120235 中,且藉由將該排氣閥設定為 閘間開口度而從該處理容器内部排啟狀f為漸減小其 餘氣2著藉處二内部移_ 氣體或者是;反應性氣體 =藉由將該排氣閥之—閘闊開口度設定為大於在該反應步驟 、、、吉束時之該排氣閥之閘閥開口度而從該處理容器内部排出氣 八 、圖式 30
TW099122857A 2009-07-22 2010-07-12 半導體處理用之批次化學氣相沉積方法及設備 TWI516631B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009171557A JP5223804B2 (ja) 2009-07-22 2009-07-22 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
TW201120235A true TW201120235A (en) 2011-06-16
TWI516631B TWI516631B (zh) 2016-01-11

Family

ID=43497689

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099122857A TWI516631B (zh) 2009-07-22 2010-07-12 半導體處理用之批次化學氣相沉積方法及設備

Country Status (5)

Country Link
US (1) US8461059B2 (zh)
JP (1) JP5223804B2 (zh)
KR (1) KR101312461B1 (zh)
CN (1) CN101962756B (zh)
TW (1) TWI516631B (zh)

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) * 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
RU2465469C2 (ru) * 2011-02-09 2012-10-27 Николай Александрович Волгин Двигатель внутреннего сгорания
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5723243B2 (ja) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6196833B2 (ja) 2012-09-26 2017-09-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104797459B (zh) 2012-11-30 2017-06-13 金泰院 自动打开式led安全角锥体
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103165497B (zh) * 2013-02-20 2015-09-30 上海华力微电子有限公司 一种氧化反应炉及利用该反应炉进行氧化反应的方法
JP6346022B2 (ja) * 2013-07-31 2018-06-20 京セラ株式会社 薄膜形成方法および太陽電池素子の製造方法
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852151B2 (ja) 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP5855691B2 (ja) 2014-02-25 2016-02-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6501560B2 (ja) * 2015-03-06 2019-04-17 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7012563B2 (ja) * 2018-03-05 2022-01-28 東京エレクトロン株式会社 成膜方法および成膜装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7254620B2 (ja) * 2018-06-26 2023-04-10 株式会社Kokusai Electric 半導体装置の製造方法、部品の管理方法、基板処理装置及び基板処理プログラム
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
CN112420486A (zh) * 2019-08-22 2021-02-26 长鑫存储技术有限公司 半导体薄膜的形成方法
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4236707B2 (ja) 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP2004288916A (ja) * 2003-03-24 2004-10-14 Renesas Technology Corp Cvd装置
JP4238812B2 (ja) 2003-11-20 2009-03-18 東京エレクトロン株式会社 被処理体の酸化装置
JP2006245089A (ja) * 2005-03-01 2006-09-14 Mitsui Eng & Shipbuild Co Ltd 薄膜形成方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100652420B1 (ko) * 2005-03-23 2006-12-01 삼성전자주식회사 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7490254B2 (en) * 2005-08-02 2009-02-10 Advanced Micro Devices, Inc. Increasing workload performance of one or more cores on multiple core processors
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
JP4863296B2 (ja) * 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20090035951A1 (en) * 2007-07-20 2009-02-05 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
US20110021033A1 (en) 2011-01-27
CN101962756A (zh) 2011-02-02
JP2011029284A (ja) 2011-02-10
TWI516631B (zh) 2016-01-11
JP5223804B2 (ja) 2013-06-26
KR101312461B1 (ko) 2013-09-27
KR20110009624A (ko) 2011-01-28
US8461059B2 (en) 2013-06-11
CN101962756B (zh) 2015-04-29

Similar Documents

Publication Publication Date Title
TW201120235A (en) Batch CVD method and apparatus for semiconductor process
JP4803578B2 (ja) 成膜方法
TWI515763B (zh) 縱型電漿處理裝置及半導體處理方法
TWI552225B (zh) SiCN膜之形成方法及裝置
JP5258229B2 (ja) 成膜方法および成膜装置
US7452424B2 (en) Vaporizer
JP5616591B2 (ja) 半導体装置の製造方法及び基板処理装置
TWI509693B (zh) 成膜方法及成膜裝置
TWI518780B (zh) 半導體製程用薄膜形成方法與裝置
TWI440087B (zh) 半導體製程之薄膜形成裝置及方法、與電腦可讀取媒體
US20150221529A1 (en) Gas supply method and thermal treatment method
US20140199839A1 (en) Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
JP5315898B2 (ja) 成膜装置
TW200832554A (en) Film formation method and apparatus for forming silicon oxide film
TW200952109A (en) Gas supply device
TW200832553A (en) Film formation method and apparatus for semiconductor process
JP2006057162A (ja) バリア膜の形成方法
TW201209921A (en) Film deposition method and film deposition apparatus
JP2006286716A (ja) 半導体デバイスの製造方法
TWI405264B (zh) 金屬氧化物膜之形成
JP2008091805A (ja) 半導体装置の製造方法、及び基板処理装置
JP2012175055A (ja) 原子層堆積装置
JP2006253410A (ja) 基板処理方法、記録媒体および基板処理装置
JP2004047634A (ja) 成膜方法及び成膜装置
US9460913B2 (en) Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees