TWI515763B - 縱型電漿處理裝置及半導體處理方法 - Google Patents

縱型電漿處理裝置及半導體處理方法 Download PDF

Info

Publication number
TWI515763B
TWI515763B TW096111446A TW96111446A TWI515763B TW I515763 B TWI515763 B TW I515763B TW 096111446 A TW096111446 A TW 096111446A TW 96111446 A TW96111446 A TW 96111446A TW I515763 B TWI515763 B TW I515763B
Authority
TW
Taiwan
Prior art keywords
gas
processing
supply
field
processing field
Prior art date
Application number
TW096111446A
Other languages
English (en)
Other versions
TW200816265A (en
Inventor
高橋俊樹
福島講平
織戶康一
佐藤潤
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW200816265A publication Critical patent/TW200816265A/zh
Application granted granted Critical
Publication of TWI515763B publication Critical patent/TWI515763B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Vapour Deposition (AREA)

Description

縱型電漿處理裝置及半導體處理方法
本發明係關於一種縱型電漿處理裝置及半導體處理方法,諸如縱型電漿膜形成裝置及用於在諸如半導體晶圓之目標基板上形成諸如含矽絕緣膜之薄膜的方法。本文所使用之術語"半導體處理"包括各種類別之處理,其經執行以藉由在目標基板上以預定圖案來形成半導體層、絕緣層及導電層而於諸如用於平板顯示器(FPD)(例如,液晶顯示器(LCD))之半導體晶圓或玻璃基板的目標基板上製造半導體設備或待連接至半導體設備之具有配線層、電極及其類似物之結構。
在製造半導體設備以用於組成半導體積體迴路時,使諸如半導體晶圓(由(例如)矽製成)之目標基板經受各種處理,諸如膜形成、蝕刻、氧化、擴散、重組、退火及天然氧化膜移除。US 2003/0224618 A1揭示了經執行於(所謂分批式)縱型熱處理裝置中之此類別的半導體處理方法。根據此方法,首先將半導體晶圓自晶圓匣轉移至縱型晶圓舟上,且在縱向上隔有間隔地在縱型晶圓舟上支撐半導體晶圓。晶圓匣可儲存(例如)25個晶圓,而晶圓舟可支撐30至150個晶圓。接著,自下方將晶圓舟裝載至處理容器中,且將處理容器氣密地封閉。接著,執行預定熱處理,同時控制諸如處理氣體流動速率、處理壓力及處理溫度之處理條件。
近年來,由於對半導體積體迴路之增加之小型化及整合的需求,需要減輕半導體設備在製造步驟中之熱歷程,藉此改良設備之特徵。對於縱型處理裝置而言,亦需要根據上文所述之需求而改良半導體處理方法。舉例而言,存在用於膜形成處理之化學氣相沈積(CVD)方法,其執行膜形成,同時間歇地供應源氣等等,以一個接一個或幾個接幾個地重複形成各具有原子或分子級厚度之層(例如,日本專利申請案KOKAI公開案第6-45256號及第11-87341號)。一般而言,將此膜形成方法稱作原子層沈積(ALD),其允許在不使晶圓暴露於極高溫度之情況下執行預定處理。
另外,對應於US 7,094,708 B2之WO 2004/066377(2004年12月15日)揭示了縱型處理裝置之用於執行ALD之結構,其利用電漿輔助來進一步降低處理溫度。根據此裝置(例如,其中二氯矽烷(DCS)及NH3 分別用作矽烷族氣體及氮化氣體以形成氮化矽膜(SiN)),如下執行處理。具體言之,交替且間歇地將DCS及NH3 氣體供應至處理容器中,其間插入有淨化週期。當供應NH3 氣體時,施加射頻(RF)來產生電漿,以便促進氮化反應。更具體言之,當將DCS供應至處理容器中時,厚度為DCS之一個分子或多個分子的層經吸附至晶圓之表面上。在淨化週期期間移除多餘的DCS。接著,供應NH3 且產生電漿,藉此執行低溫氮化以形成氮化矽膜。重複此等連續步驟以完成具有預定厚度之膜。
本發明之一目標為提供一種縱型電漿處理裝置及半導體處理方法,其可在未不利地影響處理場域之情況下改良處理氣體使用率及處理產量。
本發明之另一目標為提供一種縱型電漿處理裝置及半導體處理方法,其可改良經執行於目標基板上之電漿處理的平面均一性及/或基板間均一性。
根據本發明之第一態樣,提供一種用於半導體處理之縱型電漿處理裝置,該裝置包含:一處理容器,其具有一經組態以在一縱向上隔有間隔地容納複數個目標基板之處理場域及一在該處理場域以外之邊際空間;一支撐元件,其經組態以支撐處理場域內部之目標基板;一激發機構,其包括一安置於一與處理場域連通之空間中之電漿產生區域,該電漿產生區域在一縱向上延伸超過一對應於該處理場域之長度;一處理氣體供應迴路,其經組態以向該處理場域供應處理氣體,使得該處理氣體在通過電漿產生區域時被激發,且處理氣體被供應至處理場域以形成基本上水平之氣流;一排氣系統,其經組態以自處理場域排放氣體,且包括一面對電漿產生區域之排氣口,其中處理場域插入於排氣口與電漿產生區域之間;一阻斷氣體供應迴路,其經組態以向邊際空間供應一阻斷氣體,使得該阻斷氣體不直接供應至處理場域,而是直接供應至邊際空間;及一控制部分,其經組態以控制該裝置之操作,其中,在處理目標基板時,控制部分同時執行處理氣體自處理氣體供應迴路至處理場域之供應及阻斷氣體自阻斷氣體供應迴路至邊際空間之供應,以防止處理氣體流入邊際空間。
根據本發明之第二態樣,提供一種用於半導體處理之縱型電漿處理裝置中之處理方法,該裝置包括:一處理容器,其具有一經組態以在一縱向上隔有間隔地容納複數個目標基板之處理場域及一在該處理場域以外之邊際空間,一支撐元件,其經組態以支撐處理場域內部之目標基板,一激發機構,其包括一安置於一與處理場域連通之空間中之電漿產生區域,該電漿產生區域在一縱向上延伸超過一對應於處理場域之長度,一處理氣體供應迴路,其經組態以向處理場域供應一處理氣體,使得處理氣體在通過電漿產生區域時被激發,且處理氣體被供應至處理場域以形成基本上水平之氣流,一排氣系統,其經組態以自處理場域排放氣體,且包括一面對電漿產生區域之排氣口,其中處理場域插入於排氣口與電漿產生區域之間,及一阻斷氣體供應迴路,其經組態以向邊際空間供應一阻斷氣體,使得該阻斷氣體不直接供應至處理場域,而是直接供應至邊際空間,該方法包含:處理該等目標基板,而同時執行處理氣體自處理氣體供應迴路至處理場域之供應及阻斷氣體自阻斷氣體供應迴路至邊際空間之供應,以防止處理氣體流入邊際空間。
根據本發明之第三態樣,提供一種用於半導體處理之縱型電漿處理裝置,該裝置包含:一處理容器,其具有一經組態以在一縱向上隔有間隔地容納複數個目標基板之處理場域及一在處理場域以外之邊際空間;一支撐元件,其經組態以支撐處理場域內部之目標基板;一加熱器,其經組態以加熱處理場域內部之目標基板;一激發機構,其包括一安置於一與處理場域連通之空間中之電漿產生區域,該電漿產生區域在一縱向上延伸超過一對應於處理場域之長度;一處理氣體供應系統,其經組態以選擇性地向處理場域中供應一提供薄膜之主要材料之第一處理氣體及一與第一處理氣體反應之第二處理氣體,以便在目標基板上沈積該薄膜,使得第一及第二處理氣體中之至少一者在通過電漿產生區域時被激發,且第一及第二處理氣體被供應至處理場域以形成基本上水平之氣流;一排氣系統,其經組態以自處理場域排放氣體,且包括一面對電漿產生區域之排氣口,其中處理場域插入於排氣口與電漿產生區域之間;一阻斷氣體供應迴路,其經組態以向邊際空間供應阻斷氣體,使得該阻斷氣體不直接供應至處理場域,而是直接供應至邊際空間;及一控制部分,其經組態以控制該裝置之操作,其中,為了在目標基板上沈積薄膜,該控制部分複數次重複地執行第一處理氣體至處理場域之供應及第二處理氣體至處理場域之供應,而同時執行第一及第二處理氣體中之每一者自處理氣體供應系統至處理場域之供應及阻斷氣體自阻斷氣體供應迴路至邊際空間之供應,以防止第一及第二處理氣體流入邊際空間。
本發明之額外目標及優勢將在隨後之描述中加以陳述,且部分地將自描述中顯而易見,或可藉由對本發明之實踐而得以獲知。可藉由尤其在下文中指出之工具及組合來實現且獲得本發明之目標及優勢。
如WO 2004/066377等等中所揭示,在開發本發明之過程中,發明者已研究了縱型電漿處理裝置中所引起之問題。因此,發明者已得到下文給出之發現。
一般而言,縱型電漿處理裝置之處理容器具有在經組態以在縱向上隔有間隔地容納複數個晶圓之處理場域以外的邊際空間。在WO 2004/066377所揭示之裝置的情況下,邊際空間包含分別存在於處理場域下方及上方之下部空間及上部空間。下部空間及上部空間分別對應於用於支撐晶圓之晶圓舟之底板下方的空間及其頂板上方的空間。在一處理期間,供應處理氣體且使其基本上均一地在水平方向上排放,以便形成與晶圓平行之氣流。即使如此,處理氣體之一部分仍流入下部空間及上部空間且停留於其處,且接著經排放而未對處理反應作出貢獻。
在處理氣體之一部分停留於邊際空間之情況下,有必要延長淨化週期(真空排氣時間)以用於移除此氣體部分。在此情況下,處理產量在很大程度上減小,尤其係在上文所描述之ALD類型的處理方法(其中交替地重複處理氣體供應週期與淨化週期)中。另外,在處理氣體之一部分流入邊際空間之情況下,相對較昂貴的處理氣體之無用消耗增加,且運轉成本藉此在很大程度上增加。另外,如稍後所描述,在處理氣體之一部分流入邊際空間之情況下,處理氣體產生區域流動,此損壞對晶圓之電漿處理的平面均一性及/或基板間均一性。
現將參看隨附圖式來描述基於上文所給出之發現而達成的本發明之實施例。在以下描述中,藉由相同參考數字來表示具有大體上相同功能及配置之組成元件,且必要時,將僅進行重複描述。
<第一實施例>
圖1為展示根據本發明之第一實施例之縱型電漿處理裝置(縱型電漿膜形成裝置)的剖視圖。圖2為展示圖1所示之裝置之一部分的剖視平面圖。膜形成裝置2具有一處理場域,其經組態以選擇性地被供應有一含有作為矽烷族氣體之二氯矽烷(DCS)氣體的第一處理氣體、一含有作為氮化氣體之氨(NH3 )氣體的第二處理氣體,及一包含諸如N2 氣體之惰性氣體的淨化氣體。膜形成裝置2經組態以藉由在處理場域中進行之CVD而在目標基板上形成氮化矽膜。
裝置2包括經成形為具有頂板及打開之底部之圓柱形管柱的處理容器4,在其中界定處理場域5以容納並處理隔有間隔地堆疊之複數個半導體晶圓(目標基板)。處理容器4進一步包括在處理場域5以外之邊際空間,其在此實施例中包含分別存在於處理場域5下方及上方之下部空間S1及上部空間S2。
處理容器4之整體係由(例如)石英製成。處理容器4之頂部具備石英頂板6以使頂部氣密地密封。處理容器4之底部經由諸如O形環之密封元件10而連接至圓柱形歧管8。處理容器可完全由圓柱形石英管柱形成,而無獨立形成之歧管8。
圓柱形歧管8係由(例如)不銹鋼製成且支撐處理容器4之底部。經由歧管8之底部口而使由石英製成之晶圓舟12向上及向下移動,使得將晶圓舟12裝載至處理容器4中/自處理容器4卸載。晶圓舟12包括底板12a及頂板12b,在底板12a與頂板12b之間堆疊許多目標基板或半導體晶圓W。舉例而言,在此實施例中,晶圓舟12具有可支撐在縱向上以基本上規律之間隔的(例如)約50至100個具有300 mm之直徑之晶圓的支柱12A。
經由石英製成之熱絕緣圓柱體14而將晶圓舟12置放於台板16上。藉由旋轉軸20而支撐台板16,旋轉軸20穿透由(例如)不銹鋼製成之板蓋18,且用於打開/關閉歧管8之底部口。在如上文所述將晶圓舟12設定於處理場域5內之適當位置的狀態中,邊際空間之下部空間S1及上部空間S2分別對應於晶圓舟12之底板12a下方之空間及其頂板12b上方之空間。
板蓋18之被旋轉軸20穿透的部分具備(例如)磁性流體密封件22,使得旋轉軸20以氣密密封之狀態而受到可旋轉地支撐。將諸如O形環之密封元件24插入於板蓋18之周邊與歧管8之底部之間,使得可保持處理容器4之內部被密封。
將旋轉軸20附著於由諸如晶舟升降機之升降機構25所支撐之臂26的末端處。升降機構25一致地向上及向下移動晶圓舟12與板蓋18。可將台板16固定至板蓋18,使得在不使晶圓舟12旋轉之情況下處理晶圓W。
將氣體供應部分連接至歧管8之側以向處理容器4內之處理場域5供應預定處理氣體。具體言之,氣體供應部分包括第二處理氣體供應迴路28、第一處理氣體供應迴路30及阻斷氣體供應迴路32。配置第一處理氣體供應迴路30以供應含有諸如二氯矽烷(DCS)氣體之矽烷族氣體的第一處理氣體。配置第二處理氣體供應迴路28以供應含有諸如氨(NH3 )氣體之氮化氣體的第二處理氣體。進一步配置第一處理氣體供應迴路30及第二處理氣體供應迴路28中之每一者以單獨供應諸如N2 氣體之惰性氣體作為淨化氣體。配置阻斷氣體供應迴路32以供應諸如N2 氣體之惰性氣體作為阻斷氣體(同樣用作淨化氣體)。替代N2 氣體,惰性氣體可為諸如He氣體、Ar氣體或Ne氣體之另一惰性氣體。在需要時,可將第一及第二處理氣體中之每一者與合適量之載氣混合。然而,為了說明之簡單性,在下文中將不提及該載氣。
更具體言之,第二處理氣體供應迴路28及第一處理氣體供應迴路30分別包括氣體分配噴嘴34及36,其中每一者係由石英管形成,該石英管自外部穿透歧管8之側壁且接著轉彎並向上延伸(見圖1)。氣體分配噴嘴34及36分別具有複數個氣體噴孔34A及36A,每一組在晶圓舟12上之所有晶圓W上在縱向方向(縱向)上以預定間隔而形成。阻斷氣體供應迴路32包括短氣體噴嘴38,其自外部穿透歧管8之側壁。氣體噴嘴38具有在晶圓舟12之底板12a下方之下部空間S1內打開之氣體噴孔38A,熱絕緣圓柱體24及台板26存在於下部空間S1中。
分別經由氣體供應管線(氣體通道)42、44及46而分別將噴嘴34、36及38連接至NH3 氣體、DCS氣體及N2 氣體之氣體源28S、30S及32S。氣體供應管線42、44及46分別具備開關閥42A、44A及46A與諸如質量流量控制器之流動速率控制器42B、44B及46B。藉由此配置,可以受控流動速率來供應NH3 氣體、DCS氣體及N2 氣體。
氣體激發部分50在縱向上形成於處理容器4之側壁處。在處理容器4之與氣體激發部分50相對之側上,藉由在(例如)縱向上切割處理容器4之側壁而形成用於對內部氣氛進行真空排放之長且薄的排氣口52。
具體言之,氣體激發部分50具有藉由在縱向上切割處理容器4之側壁之預定寬度而形成之直立長且薄的開口。該開口藉由具有氣體通道55之隔板54而封閉且由氣密地連接至處理容器4之外表面的石英罩蓋56覆蓋。罩蓋56具有直立長且薄的形狀且具有凹入的橫截面,使得其自處理容器4向外突出。處理容器4、隔板54及氣體激發部分50之罩蓋56係由相同絕緣材料(具體言之,石英)製成,且藉由熔接而彼此連接。將隔板54固定至界定形成於處理容器4中之開口的壁,而將罩蓋56固定至處理容器4之外表面。
藉由此配置,形成氣體激發部分50,使得其自處理容器4之側壁向外突出且在另一側上連接至處理容器4之內部。換言之,氣體激發部分50之內部空間經由隔板54之氣體通道55而與處理容器4內之處理場域5連通。隔板54具有足以在縱向上覆蓋晶圓舟12上之所有晶圓W的直立長度。
一對長且薄的電極58安置於罩蓋56之相對外表面上且彼此面對,同時在縱向方向(縱向)上延伸。經由饋入管線62而將電極58連接至射頻(RF)電源60以用於電漿產生。向電極58施加(例如)13.56 MHz之RF電壓以形成RF電場用於激發電極58之間的電漿。RF電壓之頻率不限於13.56 MHz,且可將其設定為另一頻率,例如,400 kHz。
第二處理氣體之氣體分配噴嘴34在處理容器4之徑向方向上向外彎曲,且在低於晶圓舟12上之最低晶圓W之位置處穿透隔板54。接著,氣體分配噴嘴34在氣體激發部分50中之最深位置(距處理容器4之中心最遠之位置)處直立地延伸。如圖2亦展示,氣體分配噴嘴34與夾於該對電極58之間的區域(RF電場最為強烈之位置)(亦即,實際上產生主要電漿處之電漿產生區域PS)向外分離。自氣體分配噴嘴34之氣體噴孔34A向電漿產生區域PS噴射含有NH3 氣體之第二處理氣體。接著,在電漿產生區域PS中激發(分解或活化)第二處理氣體,且經由隔板54之氣體通道55而將其以此狀態供應至晶圓舟12上之晶圓W上。
由(例如)石英製成之絕緣保護罩蓋64附著至且覆蓋罩蓋56之外表面。一冷卻機構(未圖示)安置於絕緣保護罩蓋64中且包含分別面對電極58之冷卻劑通道。以諸如經冷卻之氮氣的冷卻劑來供應冷卻劑通道,以冷卻電極58。藉由安置於外表面上之遮罩(未圖示)來覆蓋絕緣保護罩蓋64以防止RF洩漏。
第一處理氣體之氣體分配噴嘴36在氣體激發部分50之隔板54附近及外部(亦即,在氣體激發部分50外部(處理容器4內部))的位置處向上延伸。自氣體分配噴嘴36之氣體噴孔36A向處理容器4之中央噴射含有DCS氣體之第一處理氣體。氣體噴孔36A形成於晶圓舟12上之晶圓W之間的位置處以在水平方向上基本上均一地傳送第一處理氣體(含有DCS),以便形成與晶圓W平行之氣流。
隔板54具有形成於其中之氣體通道55以用於使電漿產生區域SP與處理場域5連通。氣體通道55由具有圓形形狀之許多氣體擴散孔55A組成。氣體擴散孔55A在晶圓舟12上之所有晶圓W上在隔板54之縱向方向(縱向)上以預定間隔排列為一直立列。氣體擴散孔55A以與晶圓W之間隔相同之規律間隔而形成於晶圓舟12上之晶圓W之間的位置處。氣體擴散孔55A允許由電漿活化之第二處理氣體(含有NH3 )在水平方向上基本上均一地通過氣體擴散孔55A,以便形成與晶圓W平行之氣流。
隔板54降低電漿產生區域SP與處理場域5之間的氣流傳導性。因此,可在不於壓力方面不利地影響處理場域5之情況下增加電漿產生區域SP之壓力。從而可改良電漿產生效率,且界定氣體激發部分50之壁表面較少由電漿離子濺鍍。
另一方面,由排氣口罩蓋元件66覆蓋相對於氣體激發部分50而形成之排氣口52。排氣口罩蓋元件66係由石英製成,其具有U形橫截面且藉由熔接而附著。排氣口罩蓋元件66沿處理容器4之側壁向上延伸,且在處理容器4之頂部具有氣體出口68。氣體出口68連接至包括真空泵等等之真空排氣系統GE。真空排氣系統GE具有連接至氣體出口68之排氣通道84,閥門單元(開度調節閥)86、真空泵88及用於移除不良物質之去毒單元89以此順序自上游側安置於排氣通道84上。
藉由用於加熱處理容器4內之氣氛及晶圓W之加熱器70環繞處理容器4。將一熱電偶(未圖示)安置於處理容器4中之排氣口52附近以控制加熱器70。
膜形成裝置2進一步包括由(例如)電腦形成之主控制部分48以控制整個裝置。主控制部分48可根據預先儲存於其記憶體中的膜形成處理之製程配方而控制下文所描述之膜形成處理,該製程配方係關於(例如)待形成之膜的膜厚度及組合物。在該記憶體中,處理氣體流動速率與膜之厚度及組合物之間的關係亦作為控制資料而得以預先儲存。因此,主控制部分48可基於所儲存之製程配方及控制資料而控制升降機構25、氣體供應迴路28、30及32、排氣系統GE(包括閥門單元86)、氣體激發部分50、加熱器70,等等。
緊接著,將給出對執行於圖1所示之裝置中之膜形成方法(所謂的原子層沈積(ALD)膜形成)的闡述。總而言之,配置此膜形成方法以向容納晶圓W之處理場域5選擇性地供應含有作為矽烷族氣體之二氯矽烷(DCS)氣體的第一處理氣體及含有作為氮化氣體之氨(NH3 )氣體的第二處理氣體,以藉由CVD而在晶圓W上形成氮化矽膜。
首先,將於室溫下支撐具有300 mm之直徑的許多(例如,50至100個)晶圓之晶圓舟12裝載至以一預定溫度而受到加熱之處理容器4中。接著,對處理容器4之內部進行真空排放且將其保持於預定處理壓力下,且將晶圓溫度增加至處理溫度以用於膜形成。此時,該裝置處於等待狀態,直至溫度變得穩定為止。接著,以受控流動速率而自各別氣體分配噴嘴36及34間歇地供應含有DCS氣體之第一處理氣體及含有NH3 氣體之第二處理氣體。另外,以下文所述之方式而自氣體噴嘴38供應由N2 氣體組成之阻斷氣體或淨化氣體。
具體言之,自氣體分配噴嘴36之氣體噴孔36A供應含有DCS氣體之第一處理氣體,以形成與晶圓舟12上之晶圓W平行的氣流。在被供應時,DCS氣體之分子及藉由DCS氣體之分解而產生的分解產物之分子及原子經吸附於晶圓W上。
另一方面,自氣體分配噴嘴34之氣體噴孔34A供應含有NH3 氣體之第二處理氣體,以形成朝向隔板54之水平氣流。在第二處理氣體通過該對電極58之間的電漿產生區域PS時,選擇性地對其進行激發且使其部分地變為電漿。此時,產生(例如)諸如N 、NH 、NH2 及NH3 之自由基(啟動物質)(符號[ ]表示其為自由基)。自由基自氣體激發部分50之隔板54之氣體通道55流出朝向處理容器4之中央,且以層狀流動狀態而被供應至晶圓W之間的間隙中。
自由基與吸附於晶圓W之表面上的DCS氣體之分子反應,使得在晶圓W上形成氮化矽膜。或者,當DCS氣體流動至吸附於晶圓W之表面上的自由基上時,引起相同反應,因此在晶圓W上形成氮化矽膜。
當向處理場域5供應第一處理氣體及第二處理氣體中之每一者時,同時自氣體噴嘴38之氣體噴孔38A向屬於邊際空間之下部空間S1供應由惰性氣體組成之阻斷氣體。因此,防止第一處理氣體及第二處理氣體中之每一者流入下部空間S1,藉此改良了處理產量、處理氣體使用率及處理之平面均一性及/或基板間均一性。
具體言之,在向下部空間S1供應阻斷氣體之情況下,不僅防止第一處理氣體及第二處理氣體中之每一者流入下部空間S1,而且亦可很快地排放已流入其中之處理氣體。因此,有可能縮短為移除處理氣體所必要之時間,藉此改良了產量。另外,由於藉由阻斷氣體而防止處理氣體流入下部空間S1,所以減少了相對較為昂貴的處理氣體之無用消耗。因此,有可能改良處理氣體使用率且減少運轉成本。此外,由於防止處理氣體流入下部空間S1,所以處理氣體可相對於晶圓之表面較為均一地流動。因此,有可能改良處理之平面均一性及/或基板間均一性。
圖3為根據本發明之第一實施例之膜形成方法之氣體供應的時序圖。在此實施例中,阻斷氣體亦用作淨化氣體,且可以選自稍後所描述之各種方式的方式來供應此氣體。在圖3中,(A)及(B)分別展示第一處理氣體(在圖3中表示為DCS)及第二處理氣體(在圖3中表示為NH3 )至處理場域5之供應。另外,(C1)至(C6)展示阻斷氣體(在圖3中表示為N2 )至下部空間S1之供應的六個不同實例。
如圖3中所示,配置根據此實施例之膜形成方法以交替地重複第一步驟T1至第四步驟T4。將包含第一步驟T1至第四步驟T4之循環重複許多次,且層壓藉由各別循環而形成的氮化矽之薄膜,藉此得到具有目標厚度之氮化矽膜。首先,將闡述採用阻斷氣體之供應之第一實例(C1)的處理。
配置第一步驟T1以執行第一處理氣體至處理場域5之供應,同時停止第二處理氣體至處理場域5之供應。配置第二步驟T2以停止第一及第二處理氣體至處理場域5之供應。配置第三步驟T3以執行第二處理氣體至處理場域5之供應,同時停止第一處理氣體至處理場域5之供應。另外,在第三步驟T3中,將RF電源60設定為打開狀態以藉由氣體激發部分50而將第二處理氣體變為電漿,以便將第二處理氣體以活化狀態供應至處理場域5。配置第四步驟T4以停止第一及第二處理氣體至處理場域5之供應。根據第一實例(C1),在第一步驟至第四步驟之整個過程中以同一流動速率來連續地執行阻斷氣體至下部空間S1之供應。另外,較佳地,在第一步驟T1至第四步驟T4之整個過程中藉由真空排氣系統GE而經由排氣通道84連續地對處理場域5進行真空排放。
第二步驟T2及第四步驟T4中之每一者用作淨化步驟以移除處理容器4內之殘氣。術語"淨化"意謂藉由在向處理容器4中供應諸如N2 氣體之惰性氣體的同時對處理容器4之內部進行真空排放(此對應於第一實例(C1))或藉由在停止所有氣體之供應的同時對處理容器4之內部進行真空排放來移除處理容器4內之殘氣。在此方面,可配置第二步驟T2及第四步驟T4,使得第一半僅利用真空排放且第二半利用真空排放及惰性氣體供應兩者。另外,可配置第一步驟T1及第三步驟T3,以在供應第一及第二處理氣體中之每一者的同時停止對處理容器4進行真空排放。然而,在供應第一及第二處理氣體中之每一者係連同對處理容器4進行真空排放而執行之情況下,可在第一步驟T1至第四步驟T4之整個過程中對處理容器4之內部進行連續地真空排放。
將第一步驟T1設定為在約1至120秒之範圍內(例如,為約5秒)。將第二步驟T2設定為在約1至30秒之範圍內(例如,為約5秒)。將第三步驟T3設定為在約1至120秒之範圍內(例如,為約10秒)。將第四步驟T4設定為在約1至30秒之範圍內(例如,為約5秒)。一般而言,藉由第一步驟T1至第四步驟T4之一循環而獲得之膜厚度為約0.05至0.11 nm。因此,例如,在目標膜厚度為50 nm之情況下,將該循環重複約500次。然而,此等時間及厚度值僅為實例且因此並非限制性的。
如上文所述,在向下部空間S1供應阻斷氣體之情況下,不僅防止第一處理氣體及第二處理氣體中之每一者流入下部空間S1,而且亦可很快地排放已流入其中之處理氣體。舉例而言,假定將膜形成處理配置為將包含第一步驟T1至第四步驟T4之一循環重複500次。在此情況下,若使每一循環之氣體淨化操作縮短幾秒(諸如2秒),則可使總膜形成時間縮短1,000秒(=2×500),藉此改良了產量。
將DCS氣體之流動速率設定為在50至2,000 sccm之範圍內,例如,為1,000 sccm(1 slm:每分鐘標準公升)。將NH3 氣體之流動速率設定為在100至5,000 sccm之範圍內,例如,為3,000 sccm。將N2 氣體之流動速率設定為在10至30,000 sccm之範圍內,例如,為5,000 sccm。處理溫度低於普通CVD處理之處理溫度,且經設定為在250至700℃之範圍內,且較佳地為350至600℃之範圍內。若處理溫度低於250℃,則基本上不沈積膜,因為幾乎不引起任何反應。若處理溫度高於700℃,則沈積低品質之CVD膜,且諸如金屬膜之現有膜可能遭受熱損傷。
將處理壓力(處理場域5之壓力)設定為在0.2至1托(27至133 Pa(1托=133.3 Pa))之範圍內。此條件可改良藉由電漿膜形成而形成之膜之厚度中的平面均一性及基板間均一性。若處理壓力高於1.0托,則自由基經劇烈地去活化。若處理壓力低於0.2托,則膜形成速率變得低於實踐水平。
另一方面,將電漿產生區域SP之壓力(氣體激發部分50內部之壓力)設定為在(例如)0.7至5.0托(93至667 Pa)之範圍內。若將電漿產生區域SP之壓力設定為較高,則可改良電漿產生效率,因此電漿密度變得較高。若電漿產生區域SP之壓力高於5.0托,則電漿點火變得非常困難。若此壓力低於0.7托,則電漿產生效率經劇烈地損壞。
圖3亦展示不同於第一實例(C1)的阻斷氣體之供應之第二實例(C2)至第六實例(C6)。然而,阻斷氣體之供應方式不限於此等實例,且其可選自其他各種方式。
在第二實例(C2)中,配置第二步驟T2及第四步驟T4中之每一者以在後半週期Lt中完全停止阻斷氣體之供應。因此,促進處理容器4內之殘氣的排放。在第三實例(C3)中,配置第二步驟T2及第四步驟T4中之每一者以在其整個過程中完全停止阻斷氣體之供應。在此情況下,將阻斷氣體之消耗減少對應於阻斷氣體之供應之停止的量。在第四實例(C4)中,根據第一及第二處理氣體之流動速率而設定阻斷氣體之流動速率,使得其在供應第一處理氣體時較小且在供應第二處理氣體時較大。另外,配置第二步驟T2及第四步驟T4中之每一者以在其整個過程中完全停止阻斷氣體之供應。在第五實例(C5)中,與第四實例(C4)相反地設定阻斷氣體之流動速率,使得其在供應第一處理氣體時較大且在供應第二處理氣體時較小。在第六實例(C6)中,阻斷氣體之流動速率在第一步驟T1至第四步驟T4之一循環中逐步變化,使得其在供應第二處理氣體時達到峰值。
亦在此等修改中,防止第一處理氣體及第二處理氣體中之每一者流入下部空間S1,藉此改良了處理產量、處理氣體使用率及處理之平面均一性及/或基板間均一性。
<實驗1>
執行圖4所示之不向下部空間S1中供應阻斷氣體之裝置(比較實例)與圖5所示之向下部空間S1中供應阻斷氣體之裝置(本發明之實例)之間的比較實驗。在圖4及圖5中,未展示隔板54。在此實驗中,使用具有200 mm之直徑的晶圓作為目標基板。將含有DCS氣體之第一處理氣體設定為100 sccm之流動速率。將含有NH3 氣體之第二處理氣體設定為500 sccm之流動速率。在圖5所示之裝置中,根據圖3所示之第一實例(C1)而連續地執行阻斷氣體之供應。將用作阻斷氣體之N2 設定為1 slm之流動速率。在此等條件下,藉由將包含圖3所示之第一步驟T1至第四步驟T4之循環重複500次而執行膜形成處理。
圖6及圖7為展示晶圓上之位置與分別藉由圖4及圖5所示之裝置在實驗1中而獲得之膜厚度之間的關係之曲線圖。在圖6及圖7中,水平軸線表示晶圓上之位置(mm),且直立軸線表示膜厚度(nm)。圖6及圖7中之No.5、No.31及No.57表示支撐於晶圓舟12上之61個晶圓之晶圓號碼,其係自下方計數。如圖6所示,在比較實例之情況下,三個晶圓上之膜厚度之平面均一性分別為±4.22%、±3.88%及±4.54%。另一方面,如圖7所示,在本發明之實例之情況下,三個晶圓上之膜厚度之平面均一性分別為±3.60%、±2.76%及±2.79%。因此,已確認,可不論晶圓之高度位置而改良膜厚度之平面均一性。
圖4及圖5示意性地展示此等裝置中之氣流。如圖4所示,在比較實例之情況下,自氣體分配噴嘴34及36中之每一者而供應之處理氣體之主要部分在水平方向上流向晶圓W,但如箭頭110A及110B所指示,其較小部分流入下部空間S1及上部空間S2。此等較小部分可能損壞處理產量、處理氣體使用率及處理之平面均一性及/或基板間均一性。在此情況下,由於下部空間S2具有遠大於上部空間S2之容積,所以處理氣體之流入下部空間S2之部分更具影響。
另一方面,如圖5所示,在本發明之實例之情況下,如箭頭112A所指示,自阻斷氣體噴嘴38直接將阻斷氣體供應至下部空間S1。因此,防止處理氣體流入下部空間S1,藉此在很大程度上改良了上文所述之問題。稍後將描述一用於向上部空間S2供應阻斷氣體之噴嘴結構。
<實驗2及實驗3>
藉由使用阻斷氣體之不同供應方式而在圖5所示之裝置(本發明之實例)中執行實驗。實驗2及實驗3使用與實驗1相同之條件,除了以不同方式來執行阻斷氣體之供應以外。在實驗2中,根據圖3所示之第四實例(C4)而執行阻斷氣體之供應,其中將用作阻斷氣體之氮氣在供應含有DCS氣體之第一處理氣體(第一步驟)時設定為0.2 slm之流動速率,且在供應含有NH3 氣體之第二處理氣體(第三步驟)時設定為1 slm。在實驗3中,根據圖3所示之第五實例(C5)而執行阻斷氣體之供應,其中將用作阻斷氣體之N2 氣體在供應含有DCS氣體之第一處理氣體(第一步驟)時設定為1 slm之流動速率,且在供應含有NH3 氣體之第二處理氣體(第三步驟)時設定為0.2 slm。
圖8及圖9為展示晶圓上之位置與分別藉由圖5所示之裝置在實驗2及實驗3中而獲得之膜厚度之間的關係之曲線圖。在圖8及圖9中,水平軸線表示晶圓上之位置(mm),且直立軸線表示膜厚度(nm)。圖8及圖9中之No.5、No.31及No.57表示支撐於晶圓舟12上之61個晶圓之晶圓號碼,其係自下方計數。如圖8及圖9所示,膜厚度之平面均一性及基板間均一性藉由阻斷氣體之不同供應方式而極大地改變。因此,已確認,可藉由調節阻斷氣體之流動速率而控制膜厚度之平面均一性及基板間均一性。
<第二實施例>
圖10為展示根據本發明之第二實施例之縱型電漿處理裝置(縱型電漿膜形成裝置)的剖視圖。圖10所示之裝置具有與圖1所示之裝置相同的結構,除了關於阻斷氣體供應迴路32之配置以外。在圖10所示之裝置中,阻斷氣體供應迴路32包括由石英管形成之氣體噴嘴38X,其自外部穿透歧管8之側壁且接著轉彎並向上延伸。氣體噴嘴38X之末端延伸超過晶圓舟12之頂板12b且到達處理容器4之頂板附近的位置。氣體噴嘴38X具有一位於晶圓舟12之頂板12b上方且面對屬於邊際空間之上部空間S2的氣體噴孔38B。在此實施例中,可根據圖3所示之第一實例(C1)至第六實例(C6)之所選實例而執行阻斷氣體之供應。
<第三實施例>
圖11為展示根據本發明之第三實施例之縱型電漿處理裝置(縱型電漿膜形成裝置)的剖視圖。圖11所示之裝置具有與圖1所示之裝置相同的結構,除了關於阻斷氣體供應迴路32之配置以外。亦在圖11所示之裝置中,阻斷氣體供應迴路32包括由石英管形成之氣體噴嘴38Y,如同圖10所示之裝置中的情況,其自外部穿透歧管8之側壁且接著轉彎並向上延伸。氣體噴嘴38Y之末端延伸超過晶圓舟12之頂板12b且到達處理容器4之頂板附近的位置。氣體噴嘴38Y具有分別位於晶圓舟12之底板12a下方及晶圓舟12之頂板12b上方且分別面對屬於邊際空間之下部空間S1及上部空間S2的氣體噴孔38A及38B。亦在此實施例中,可根據圖3所示之第一實例(C1)至第六實例(C6)之所選實例而執行阻斷氣體之供應。
根據第三實施例,向下部空間S1及上部空間S2兩者供應阻斷氣體,使得防止處理氣體流入此等空間。因此,有可能進一步改良處理產量、處理氣體使用率及處理之平面均一性及/或基板間均一性。在第三實施例中,兩個阻斷氣體噴嘴可分別經獨佔式地安置以用於下部空間S1及上部空間S2,以自該等阻斷氣體噴嘴向各別空間供應阻斷氣體。
<修改>
在上文所述之實施例中,不使提供薄膜之主要材料之第一處理氣體(含有DCS)變為電漿,且使與第一處理氣體反應之第二處理氣體(含有NH3 )變為電漿。然而,視CVD之類型而定,僅可使提供薄膜之主要材料之氣體變為電漿,或可使提供薄膜之主要材料之氣體及與前一氣體反應之氣體均變為電漿。
在該等實施例中,例如,第一處理氣體含有作為矽烷族氣體之DCS氣體。在此方面,矽烷族氣體可為選自由下列各物組成之群的一或多種氣體:二氯矽烷(DCS)、六氯二矽烷(HCD)、單矽烷(SiH4 )、二矽烷(Si2 Cl6 )、六甲基二矽氮烷(HMDS)、四氯矽烷(TCS)、二矽烷基胺(DSA)、三矽烷基胺(TSA)及雙第三丁基胺基矽烷(BTBAS)。
在該等實施例中,第二處理氣體含有氨(NH3 )氣體作為氮化氣體。在將本發明應用於氮氧化矽膜之形成的情況下,可使用諸如氧化二氮(N2 O)或氧化氮(NO)之氮氧化氣體以替代氮化氣體。在將本發明應用於氧化矽膜之形成的情況下,可使用諸如氧(O2 )或臭氧(O3 )之氧化氣體以替代氮化氣體。
除了上文所述之處理氣體以外,可進一步使用用於引入雜質之雜質氣體(諸如BCl3 氣體)及/或用於添加碳之碳氫化物氣體(諸如乙烯)。本發明可應用於另一膜形成處理(諸如電漿CVD處理)以替代上文所述之ALD處理。另外,本發明可應用於另一電漿處理(諸如電漿蝕刻處理、電漿氧化/擴散處理或電漿重組處理)以替代上文所述之電漿膜形成處理。另外,本發明可應用於另一目標基板(諸如玻璃基板或陶瓷基板)以替代上文所述之半導體晶圓。
熟習此項技術者將易於想到額外優勢及修改。因此,本發明在其較廣態樣中不限於本文所展示並描述之特定細節及代表性實施例。因此,可在不脫離如由附加申請專利範圍及其等效物所界定之本發明之總概念的精神或範疇之前提下作出各種修改。
2...膜形成裝置
4...處理容器
5...處理場域
6...頂板
8...歧管
10...密封元件
12...晶圓舟
12a...底板
12A...支柱
12b...頂板
14...熱絕緣圓柱體
16...台板
18...板蓋
20...旋轉軸
22...磁性流體密封件
24...密封元件/熱絕緣圓柱體
25...升降機構
26...臂/台板
28...第二處理氣體供應迴路
28S...氣體源
30...第一處理氣體供應迴路
30S...氣體源
32...阻斷氣體供應迴路
32S...氣體源
34...氣體分配噴嘴
34A...氣體噴孔
36...氣體分配噴嘴
36A...氣體噴孔
38...阻斷氣體噴嘴
38A...氣體噴孔
38B...氣體噴孔
38X...氣體噴嘴
38Y...氣體噴嘴
42...氣體供應管線
42A...開關閥
42B...流動速率控制器
44...氣體供應管線
44A...開關閥
44B...流動速率控制器
46...氣體供應管線
46A...開關閥
46B...流動速率控制器
48...主控制部分
50...氣體激發部分
52...排氣口
54...隔板
55...氣體通道
55A...氣體擴散孔
56...罩蓋
58...電極
60...射頻(RF)電源
62...饋入管線
64...絕緣保護罩蓋
66...排氣口罩蓋元件
68...氣體出口
70...加熱器
84...排氣通道
86...閥門單元
88...真空泵
89...去毒單元
110A...箭頭
110B...箭頭
112A...箭頭
C1...第一實例
C2...第二實例
C3...第三實例
C4...第四實例
C5...第五實例
C6...第六實例
GE...真空排氣系統
Lt...後半週期
PS...電漿產生區域
S1...下部空間
S2...上部空間
T1...第一步驟
T2...第二步驟
T3...第三步驟
T4...第四步驟
W...半導體晶圓
圖1為展示根據本發明之第一實施例之縱型電漿處理裝置(縱型電漿膜形成裝置)的剖視圖;圖2為展示圖1所示之裝置之一部分的剖視平面圖;圖3為根據本發明之第一實施例之膜形成方法之氣體供應的時序圖;圖4為展示用於未向下部空間S1中供應阻斷氣體之實驗中之裝置(比較實例)的視圖;圖5為展示用於向下部空間S1中供應阻斷氣體之實驗中之裝置(本發明之實例)的視圖;圖6為展示晶圓上之位置與藉由圖4所示之裝置在實驗1中獲得之膜厚度之間的關係之曲線圖;圖7為展示晶圓上之位置與藉由圖5所示之裝置在實驗1中獲得之膜厚度之間的關係之曲線圖;圖8為展示晶圓上之位置與藉由圖5所示之裝置在實驗2中獲得之膜厚度之間的關係之曲線圖;圖9為展示晶圓上之位置與藉由圖5所示之裝置在實驗3中獲得之膜厚度之間的關係之曲線圖;圖10為展示根據本發明之第二實施例之縱型電漿處理裝置(縱型電漿膜形成裝置)的剖視圖;且圖11為展示根據本發明之第三實施例之縱型電漿處理裝置(縱型電漿膜形成裝置)的剖視圖。
2...膜形成裝置
4...處理容器
5...處理場域
6...頂板
8...歧管
10...密封元件
12...晶圓舟
12a...底板
12A...支柱
12b...頂板
14...熱絕緣圓柱體
16...台板
18...板蓋
20...旋轉軸
22...磁性流體密封件
24...密封元件/熱絕緣圓柱體
25...升降機構
26...臂/台板
28...第二處理氣體供應迴路
28S...氣體源
30...第一處理氣體供應迴路
30S...氣體源
32...阻斷氣體供應迴路
32S...氣體源
34...氣體分配噴嘴
34A...氣體噴孔
36...氣體分配噴嘴
36A...氣體噴孔
38...阻斷氣體噴嘴
38A...氣體噴孔
42...氣體供應管線
42A...開關閥
42B...流動速率控制器
44...氣體供應管線
44A...開關閥
44B...流動速率控制器
46...體供應管線
46A...開關閥
46B...流動速率控制器
48...主控制部分
50...氣體激發部分
52...排氣口
54...隔板
55...氣體通道
56...罩蓋
58...電極
60...射頻(RF)電源
62...饋入管線
64...絕緣保護罩蓋
66...排氣口罩蓋元件
68...氣體出口
70...加熱器
84...排氣通道
86...閥門單元
88...真空泵
89...去毒單元
GE...真空排氣系統
S1...下部空間
S2...上部空間
W...半導體晶圓

Claims (21)

  1. 一種用於一半導體處理之縱型電漿處理裝置,該裝置包含:一處理容器,其具有一經組態以在一縱向上隔有間隔地容納複數個目標基板之處理場域(process field)及一在該處理場域以外之邊際空間(marginal space);一支撐元件,其經組態以支撐該處理場域內部之該等目標基板;一激發機構,其包括一設置於一與該處理場域連通之空間中之電漿產生區域,該電漿產生區域在一縱向上延伸超過一對應於該處理場域之長度;數個處理氣體供應迴路,其經組態以向該處理場域供應一第一及/或第二處理氣體,使得該第一及/或第二處理氣體在通過該電漿產生區域時被激發,且該第一及/或第二處理氣體被供應至該處理場域以形成基本上水平之氣流;一排氣系統,其經組態以自該處理場域排放氣體,且包括一面對該電漿產生區域之排氣口,其中該處理場域插入於該排氣口與該電漿產生區域之間;一阻斷氣體供應迴路,其經組態以向該邊際空間供應一阻斷氣體,使得該阻斷氣體不直接供應至該處理場域,而是直接供應至該邊際空間;及一控制部分,其經組態以控制該裝置之一操作,其中,在處理該等目標基板時,該控制部分同時執行該第 一及/或第二處理氣體自上述處理氣體供應迴路至該處理場域之供應及該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應,以防止該第一及/或第二處理氣體流入該邊際空間。
  2. 如請求項1之裝置,其中該邊際空間包含分別存在於該處理場域下方及上方之一下部空間及一上部空間中之一者,且該阻斷氣體供應迴路具有一向該邊際空間打開之供應口。
  3. 如請求項1之裝置,其中該邊際空間包含分別存在於該處理場域下方及上方之一下部空間及一上部空間,且該阻斷氣體供應迴路具有分別向該下部空間及該上部空間打開之一下部供應口及一上部供應口。
  4. 如請求項3之裝置,其中該支撐元件經組態以在一底板與一頂板之間支撐該目標基板,該下部供應口在該底板下方打開,且該上部供應口在該頂板上方打開。
  5. 如請求項1之裝置,其中該排氣口在一縱向上延伸超過一對應於該處理場域之長度。
  6. 如請求項5之裝置,其中在處理該等目標基板時,該控制部分同時執行該第一及/或第二處理氣體自上述處理氣體供應迴路至該處理場域之供應、該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應,及氣體自該處理容器經由該排氣口之排放。
  7. 如請求項3之裝置,其中該下部供應口及該上部供應口形成於一在該處理容器內在一縱向上延伸之共同噴嘴 中,且該噴嘴在一對應於該處理場域之位置處不具有開口。
  8. 如請求項1之裝置,其進一步包含一位於該處理場域與該電漿產生區域之間且具有一絕緣表面之隔板,該隔板包括一經設置為在一縱向上超過一對應於該處理場域之長度的氣體通道。
  9. 如請求項8之裝置,其中該氣體通道包含複數個氣體擴散孔,該等氣體擴散孔在該處理場域上於一縱向隔有間隔地排列以形成與該複數個目標基板平行之氣流。
  10. 如請求項1之裝置,其中該控制部分於停止該第一及第二處理氣體至該處理場域之供應時,亦停止該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應。
  11. 一種在一用於一半導體處理之縱型電漿處理裝置中之處理方法,該裝置包括:一處理容器,其具有一經組態以在一縱向上隔有間隔地容納複數個目標基板之處理場域及一在該處理場域以外之邊際空間,一支撐元件,其經組態以支撐該處理場域內部之該等目標基板,一激發機構,其包括一設置於一與該處理場域連通之空間中之電漿產生區域,該電漿產生區域在一縱向上延伸超過一對應於該處理場域之長度,數個處理氣體供應迴路,其經組態以向該處理場域供 應一第一及/或第二處理氣體,使得該第一及/或第二處理氣體在通過該電漿產生區域時被激發,且該第一及/或第二處理氣體被供應至該處理場域以形成基本上水平之氣流,一排氣系統,其經組態以自該處理場域排放氣體,且包括一面對該電漿產生區域之排氣口,其中該處理場域插入於該排氣口與該電漿產生區域之間,及一阻斷氣體供應迴路,其經組態以向該邊際空間供應一阻斷氣體,使得該阻斷氣體不直接供應至該處理場域,而是直接供應至該邊際空間,該方法包含:處理該等目標基板,而同時執行該第一及/或第二處理氣體自上述處理氣體供應迴路至該處理場域之供應及該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應,以防止該第一及/或第二處理氣體流入該邊際空間。
  12. 一種用於一半導體處理之縱型電漿處理裝置,該裝置包含:一處理容器,其具有一經組態以在一縱向上隔有間隔地容納複數個目標基板之處理場域及一在該處理場域以外之邊際空間;一支撐元件,其經組態以支撐該處理場域內部之該等目標基板;一加熱器,其經組態以加熱該處理場域內部之該等目標基板; 一激發機構,其包括一設置於一與該處理場域連通之空間中之電漿產生區域,該電漿產生區域在一縱向上延伸超過一對應於該處理場域之長度;一處理氣體供應系統,其經組態以選擇性地向該處理場域中供應一提供一薄膜之一主要材料之第一處理氣體及一與該第一處理氣體反應之第二處理氣體,以便在該等目標基板上沈積該薄膜,使得該第一及該第二處理氣體中之至少一者在通過該電漿產生區域時被激發,且該第一及該第二處理氣體被供應至該處理場域以形成基本上水平之氣流;一排氣系統,其經組態以自該處理場域排放氣體,且包括一面對該電漿產生區域之排氣口,其中該處理場域插入於該排氣口與該電漿產生區域之間;一阻斷氣體供應迴路,其經組態以向該邊際空間供應一阻斷氣體,使得該阻斷氣體不直接供應至該處理場域,而是直接供應至該邊際空間;及一控制部分,其經組態以控制該裝置之一操作,其中,為了在該等目標基板上沈積該薄膜,該控制部分重複數次地執行該第一處理氣體至該處理場域之供應及該第二處理氣體至該處理場域之供應,而同時執行該第一及該第二處理氣體中之每一者自該處理氣體供應系統至該處理場域之供應及該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應,以防止該第一及該第二處理氣體流入該邊際空間。
  13. 如請求項12之裝置,其中該邊際空間包含分別存在於該處理場域下方及上方之一下部空間及一上部空間中之一者,且該阻斷氣體供應迴路具有一向該邊際空間打開之供應口。
  14. 如請求項12之裝置,其中該邊際空間包含分別存在於該處理場域下方及上方之一下部空間及一上部空間,且該阻斷氣體供應迴路具有分別向該下部空間及該上部空間打開之一下部供應口及一上部供應口。
  15. 如請求項14之裝置,其中該支撐元件經組態以在一底板與一頂板之間支撐該目標基板,該下部供應口在該底板下方打開,且該上部供應口在該頂板上方打開。
  16. 如請求項12之裝置,其進一步包含一位於該處理場域與該電漿產生區域之間且具有一絕緣表面之隔板,該隔板包括一設置為在一縱向上超過一對應於該處理場域之長度的氣體通道。
  17. 如請求項12之裝置,其中該排氣口在一縱向上延伸超過一對應於該處理場域之長度。
  18. 如請求項17之裝置,其中,為了在該等目標基板上沈積該薄膜,該控制部分交替地執行:一執行該第一處理氣體至該處理場域之供應的第一步驟;一停止該第一及該第二處理氣體至該處理場域之供應的第二步驟;一執行該第二處理氣體至該處理場域之供應的第三步 驟;及一停止該第一及該第二處理氣體至該處理場域之供應的第四步驟,而且自該第一步驟至該第四步驟連續地自該處理容器內部排放氣體。
  19. 如請求項18之裝置,其中該控制部分自該第一步驟至該第四步驟連續地執行該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應。
  20. 如請求項18之裝置,其中該控制部分在該第二步驟及該第四步驟中之每一者中停止該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應。
  21. 如請求項18之裝置,其中該控制部分將該第二步驟及該第四步驟中之每一者設定為具有一先前期間及一後續期間,該先前期間及該後續期間分別執行及停止該阻斷氣體自該阻斷氣體供應迴路至該邊際空間之供應。
TW096111446A 2006-04-05 2007-03-30 縱型電漿處理裝置及半導體處理方法 TWI515763B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006104730 2006-04-05
JP2006116021A JP4929811B2 (ja) 2006-04-05 2006-04-19 プラズマ処理装置

Publications (2)

Publication Number Publication Date
TW200816265A TW200816265A (en) 2008-04-01
TWI515763B true TWI515763B (zh) 2016-01-01

Family

ID=38573786

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096111446A TWI515763B (zh) 2006-04-05 2007-03-30 縱型電漿處理裝置及半導體處理方法

Country Status (5)

Country Link
US (2) US20070234961A1 (zh)
JP (1) JP4929811B2 (zh)
KR (1) KR101122964B1 (zh)
CN (1) CN101051606B (zh)
TW (1) TWI515763B (zh)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
JP4951501B2 (ja) * 2005-03-01 2012-06-13 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP5222652B2 (ja) 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5099101B2 (ja) * 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5658463B2 (ja) * 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20120156887A1 (en) * 2009-08-27 2012-06-21 Youhei Ono Vacuum processing apparatus and vacuum processing method
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
KR101458195B1 (ko) * 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5646984B2 (ja) * 2010-12-24 2014-12-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012142386A (ja) * 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
JP2012174782A (ja) * 2011-02-18 2012-09-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5723243B2 (ja) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5945430B2 (ja) * 2012-02-29 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN103165497B (zh) * 2013-02-20 2015-09-30 上海华力微电子有限公司 一种氧化反应炉及利用该反应炉进行氧化反应的方法
JP6258657B2 (ja) * 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
JP6113626B2 (ja) * 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
KR102138985B1 (ko) * 2015-09-04 2020-07-28 가부시키가이샤 코쿠사이 엘렉트릭 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017138087A1 (ja) * 2016-02-09 2017-08-17 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
CN105543955A (zh) * 2016-02-26 2016-05-04 上海华力微电子有限公司 多晶硅制备之立式炉管及其制备方法
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6568508B2 (ja) * 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10115607B2 (en) 2016-09-16 2018-10-30 Applied Materials, Inc. Method and apparatus for wafer outgassing control
JP6715739B2 (ja) * 2016-10-03 2020-07-01 株式会社アルバック ハースユニット、蒸発源および成膜装置
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10217630B2 (en) 2016-11-24 2019-02-26 Tokyo Electron Limited Method of forming silicon-containing film
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR20230113657A (ko) 2018-03-23 2023-07-31 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7064577B2 (ja) * 2018-03-30 2022-05-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102034766B1 (ko) * 2018-04-12 2019-10-22 주식회사 유진테크 기판 처리 장치 및 기판 처리 방법
JP6731527B2 (ja) * 2019-08-02 2020-07-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN110408912A (zh) * 2019-09-11 2019-11-05 光驰科技(上海)有限公司 一种多片式旋转等离子体增强原子层沉积成膜装置
JP7296855B2 (ja) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111690910A (zh) * 2020-08-04 2020-09-22 光驰科技(上海)有限公司 一种光学薄膜用等离子体增强原子层沉积设备的布气装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JPH06136542A (ja) * 1992-10-21 1994-05-17 Sharp Corp プラズマcvd装置
US5939333A (en) * 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JP3529989B2 (ja) 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
KR100560867B1 (ko) * 2000-05-02 2006-03-13 동경 엘렉트론 주식회사 산화방법 및 산화시스템
JP2004095953A (ja) * 2002-09-02 2004-03-25 Canon Inc 窒化シリコンの堆積膜形成方法
CN1293608C (zh) * 2002-10-16 2007-01-03 夏普株式会社 半导体器件及其制造方法以及等离子加工装置
JP4382750B2 (ja) 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
JP4330949B2 (ja) * 2003-07-01 2009-09-16 東京エレクトロン株式会社 プラズマcvd成膜方法
US20050130448A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer
JP2005197541A (ja) * 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Also Published As

Publication number Publication date
CN101051606A (zh) 2007-10-10
CN101051606B (zh) 2010-05-26
JP2007299776A (ja) 2007-11-15
US7825039B2 (en) 2010-11-02
KR20070100125A (ko) 2007-10-10
US20070234961A1 (en) 2007-10-11
TW200816265A (en) 2008-04-01
JP4929811B2 (ja) 2012-05-09
US20090181548A1 (en) 2009-07-16
KR101122964B1 (ko) 2012-03-15

Similar Documents

Publication Publication Date Title
TWI515763B (zh) 縱型電漿處理裝置及半導體處理方法
TWI552225B (zh) SiCN膜之形成方法及裝置
TWI518780B (zh) 半導體製程用薄膜形成方法與裝置
TWI440087B (zh) 半導體製程之薄膜形成裝置及方法、與電腦可讀取媒體
US7094708B2 (en) Method of CVD for forming silicon nitride film on substrate
TWI420597B (zh) 用以形成掺雜有金屬之含矽絕緣膜的膜形成方法與膜形成設備
TWI413182B (zh) 半導體製程用成膜裝置及使用其之方法
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
TWI478238B (zh) 成膜方法及半導體製程用裝置
US8257789B2 (en) Film formation method in vertical batch CVD apparatus
TWI443714B (zh) 成膜裝置及使用其之方法
TWI516631B (zh) 半導體處理用之批次化學氣相沉積方法及設備
KR101160788B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치
KR101645775B1 (ko) 성막 방법 및 성막 장치
TWI409858B (zh) 膜形成方法
JP2009209447A (ja) 基板処理装置
KR20060046767A (ko) 실리콘 질화막의 형성 방법 및 형성 장치
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same
JP2010123752A (ja) 基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees