TW201107520A - Method for forming metal nitride film, and storage medium - Google Patents

Method for forming metal nitride film, and storage medium Download PDF

Info

Publication number
TW201107520A
TW201107520A TW099109226A TW99109226A TW201107520A TW 201107520 A TW201107520 A TW 201107520A TW 099109226 A TW099109226 A TW 099109226A TW 99109226 A TW99109226 A TW 99109226A TW 201107520 A TW201107520 A TW 201107520A
Authority
TW
Taiwan
Prior art keywords
gas
processing container
film
substrate
metal nitride
Prior art date
Application number
TW099109226A
Other languages
English (en)
Inventor
Kensaku Narushima
Akinobu Kakimoto
Takanobu Hotta
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201107520A publication Critical patent/TW201107520A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

201107520 六、發明說明 【發明所屬之技術領域】 本發明,係有關於將TiN膜等之金屬氮化膜作成膜的 金屬氮化膜之成膜方法及記憶媒體。 【先前技術】 在半導體裝置之製造中,作爲阻障膜或者是電極等之 材料,例如係使用有TiN膜,作爲其之成膜手法,係採用 有就算是細微之電路圖案亦能夠得到良好之覆蓋率的 CVD ( Chemical Vapor Deposition),於先前技術中,作 爲成膜氣體,係使用有TiCl4氣體與NH3氣體(例如,日 本特開平06-188205號公報)。 在使用有TiCl4氣體與NH3氣體的TiN膜之成膜中, 於先前技術中,係將成膜溫度設爲600 °C左右而進行,但 是,近來,由於各種裝置之更進一步的細微化以及異種裝 置之混在搭載,因此,係傾向進行低溫成膜,並提案有: 於中間挾持有洗淨(purge )地而將TiCl4氣體與NH3氣 體交互地反覆作導入,並低溫化至450°C左右而進行成膜 之技術(例如,日本特開2003 -077 8 64號公報),並且, 亦仍嘗試有更進一步之低溫化。 然而,使用TiCl4氣體與NH3氣體而在低溫下所成膜 了的TiN膜,係存在有下述等之缺點,亦即是:(1)成 膜速度爲低、(2)膜中之C1濃度爲高而膜密度爲低' (3)難以成爲連續膜、(4)在絕緣膜形成時係容易被氧 201107520 化。特別是,(1 )之成膜速度爲低一事,係會導致生產 性之降低,而會成爲大的問題。又,由於(2)之膜中C1 濃度爲高一事,比電阻係會變大。進而,(3 )之難以成 爲連續膜一事,係會導致阻障性之降低。 【發明內容】 本發明之目的,係在於提供一種能夠以低溫且高成膜 速度來進行成膜的金屬氮化膜之成膜方法。 本發明之其他目的,係在於提供一種能夠以更低溫來 成膜比電阻爲低的金屬氮化膜之成膜方法。 本發明之另外其他目的,係在於提供一種能夠以更低 溫來成膜阻障性爲高的金屬氮化膜之成膜方法。 本發明之其他目的,係爲提供一種記憶有用以實行此 種方法之程式的記憶媒體。 若依據本發明之第1觀點,則係提供一種金屬氮化膜 之成膜方法,其特徵爲,包含:將被處理基板搬入至處理 容器內,並將前述處理容器內保持爲減壓狀態之工程;和 將前述處理容器內之被處理基板保持在400°C以下的溫度 之工程;和將金屬氯化物氣體與聯胺系化合物氣體交互性 地供給至前述處理容器內並在被處理基板上成膜金屬氮化 膜之工程。 若依據本發明之第2觀點,則係提供一種金屬氮化膜 之成膜方法,其特徵爲,包含:將被處理基板搬入至處理 容器內,並將前述處理容器內保持爲減壓狀態之工程;和 -6 - 201107520 將前述處理容器內之被處理基板加熱 °C以下的溫度之工程;和將TiCl4氣 互性地供給至前述處理容器內並在 TiN結晶作爲主體之TiN膜之工程。 若依據本發明之第3觀點,則係 之成膜方法,其特徵爲,包含:將被 容器內’並將前述處理容器內保持爲 將前述處理容器內之被處理基板加 3 3 0 °C以下之工程:和將TiCI4氣體 性地供給至前述處理容器內並在被處 結晶作爲主體之TiN膜之工程。 若依據本發明之第4觀點,則係 之成膜方法,其特徵爲,包含:將被 容器內,並將前述處理容器內保持爲 將前述處理容器內之被處理基板加熱 °c的溫度之工程;和將TiCl4氣體與 地供給至前述處理容器內並在被處理 作爲主體之TiN膜之工程。 若依據本發明之第5觀點,則係 之成膜方法,其特徵爲,包含:將被 5〇°C以上未滿230°C,並將TiCl4氣 互地作供給而在被處理基板上形成以 膜之工程:和將被處理基板之溫度設 以下,並將TiCl4氣體與甲基聯胺 至超過3 30°c且400 體與甲基聯胺氣體交 被處理基板上成膜以 提供一種金屬氮化膜 處理基板搬入至處理 減壓狀態之工程;和 熱至超過230 °C以上 與甲基聯胺氣體交互 理基板上成膜以TiN 提供一種金屬氮化膜 處理基板搬入至處理 減壓狀態之工程;和 至5 0 °C以上未滿2 3 0 甲基聯胺氣體交互性 基板上成膜以非晶質 :提供一種金屬氮化膜 處理基板之溫度設爲 ,體與甲基聯胺氣體交 非晶質爲主體之TiN 爲 23 0°C 以上 3 3 0°C ,體交互地供給至被處 201107520 理基板上,而在前述以非晶質爲主體之TiN膜上成膜以 TiN結晶作爲主體之TiN膜之工程。 若依據本發明之第6觀點,則係提供一種記憶媒體, 係爲記憶有在電腦上動作並用以對於成膜裝置作控制之程 式的記憶媒體,其特徵爲:前述程式,在實行時,係於電 腦處而對於前述成膜裝置作控制,並使其進行包含有下述 工程之金屬氮化膜之成膜方法:將被處理基板搬入至處理 容器內,並將前述處理容器內保持爲減壓狀態之工程;和 將前述處理容器內之被處理基板保持在400°C以下的溫度 之工程;和將金屬氯化物氣體與聯胺系化合物氣體交互性 地供給至前述處理容器內並在被處理基板上成膜金屬氮化 膜之工程。 【實施方式】 以下,參考所添付之圖面,針對本發明之實施型態作 具體說明。 圖1係爲對於在本發明之其中一種實施型態的金屬氮 化膜之成膜方法的實施中所使用之成膜裝置的其中一例作 展示之槪略剖面圖。於此,係將藉由熱CVD來成膜TiN 膜的情況爲例而進行說明。 另外,在以下之說明中,於氣體流量之單位,雖係使 用mL/min,但是由於氣體之體積係會隨著溫度以及氣壓 而大幅度變化,因此在本發明中係使用換算爲標準狀態後 的値。另外,由於換算爲標準狀態後之流量通常係以 -8- 201107520 seem (Standard Cubic Centimeter per Minutes)而作標 記’因此亦將seem作一倂記載。於此之標準狀態,係爲 溫度 〇°C ( 273.15K)、氣壓 latm( 101325Pa)的狀態。 此成膜裝置1〇〇,係具有略圓筒狀之處理室1。在處 理室1之內部,身爲用以將身爲被處理基板之晶圓W作 水平支持的平台之由A1N所構成的晶座2,係以藉由被設 置於其中央下部之圓筒狀的支持構件3來支持的狀態下而 被配置。在晶座2之外緣部,係被設置有用以導引晶圓W 之導引環4。又,於晶座2中係被埋入有藉由鉬等之高融 點金屬所構成之加熱器5,此加熱器5係藉由從加熱器電 源6而被供給電力,來將身爲被處理基板之晶圓W加熱 至特定的溫度。 在處理室1之天花板壁la處,係被設置有噴淋頭 10。此噴淋頭10,係藉由上段塊體10a、中段塊體10b、 下段塊體l〇c而構成,全體係成爲略圓盤狀。上段塊體 l〇a,係具備有:與中段塊體10b以及下段塊體10c而一 同構成噴淋頭本體部之水平部l〇d、和在此水平部10d之 外周上方連續形成的環狀支持部10e,並被形成爲凹狀。 而,藉由此環狀支持部10e,噴淋頭10之全體係被支 持。而,在下段塊體10c中,係交互地被形成有吐出氣體 之吐出孔17與18。在上段塊體10a之上面,係被形成有 第1氣體導入口 11與第2氣體導入口 12。在上段塊體 l〇a之中,係從第1氣體導入口 11而分歧有多數的氣體 通路13。在中段塊體10b中,係被形成有氣體通路15, -9 - 201107520 上述之氣體通路13’係經由水平延伸之通連路13a’而通 連於此些之氣體通路15。進而,此氣體通路15係通連於 下段塊體之吐出孔17。又,在上段塊體l〇a之中, 係從第2氣體導入口 12而分歧有多數的氣體通路14。在 中段塊體l〇b之中’係被形成有氣體通路16,而上述之 氣體通路14,係連通於此些之氣體通路16。進而,此氣 體通路16,係被連接於在中段塊體10b內而水平延伸之 通連路16a,而此通連路16a,係與下段塊體l〇c之多數 的吐出孔18相通連。而,上述第1以及第2氣體導入口 11、12,係被與氣體供給機構20之氣體管線相連接。 氣體供給機構20,係具備有將身爲Ti化合物氣體之 TiCl4氣體作供給的TiCl4氣體供給源21、和將身爲第1 氮化氣體之甲基聯胺(CH3NHNH2,以下記述爲MMH )作 儲存之MMH槽25 '以及身爲第2氮化氣體之Nh3氣體供 給源6 0。 在TiCl4氣體供給源21處’係被連·接有TiCl4氣體供 給管線22,此TiCl4氣體供給管線22 ,係被與第1氣體 導入口 1 1相連接。又,在T i C14氣體供給管線2 2處,係 被連接有N 2氣體供給管線2 3,此N 2氣體供給管線2 3, 係成爲從N2氣體供給源24來作爲載體氣體或者是洗淨氣 體地而被供給有N2氣體。 另一方面’在MMH槽25處’係被插入有將載體氣 體作供給之載體氣體供給管線2 6。在載體氣體供給管線 26之另外一端處’係被設置有將身爲載體氣體之n2氣體 -10- 201107520 作供給的N2氣體供給源2 7。又,在Μ Μ Η槽2 5內,係被 插入有用以將身爲氮化氣體之ΜΜΗ氣體作供給的ΜΜΗ 氣體供給管線28,此ΜΜΗ氣體供給管線28,係被與第2 氣體導入口 12相連接。又,在ΜΜΗ氣體供給管線28 處,係被連接有洗淨氣體供給管線29,在此洗淨氣體供 給管線29處,係成爲從Ν2氣體供給源30來作爲洗淨氣 體而被供給有Ν2氣體。又,在ΜΜΗ氣體供給管線28 處,係被連接有將身爲第2氮化氣體之ΝΗ3氣體作供給 的ΝΗ3氣體供給管線62、和將Η2氣體作供給之η2氣體 供給管線63,在各管線之其中一端處,係被連接有Νη3 氣體供給源60與Η2氣體供給源61。 又’氣體供給機構20,係具備有將身爲清淨 (cleaning)氣體之C1F3氣體作供給之C1F3氣體供給源 31,在C1F3氣體供給源31處,係被連接有C1F3氣體供 給管線32a,該C1F3氣體供給管線32a,係被與TiCl4氣 體供給管線22相連接。又,係從C1F3氣體供給管線32a 作分歧地而被設置有被與ΜΜΗ氣體供給管線28相連接 之C1F3氣體供給管線32b。 在TiCl4氣體供給管線22、N2氣體供給管線23、載 體氣體供給管線26、洗淨氣體供給管線29、C1F3氣體供 給管線32a、NH3氣體供給管線62、H2氣體供給管線63 處,係被設置有質量流控制器3 3、以及將質量流控制器 33作挾持之2個的閥34。又,在MMH氣體供給管線28 以及C1F3氣體供給管線32b處,係被設置有閥34。 -11 - 201107520 故而,在製程中,從TiCl4氣體供給源21而來之 TiCl4氣體,係與從N2氣體供給源24而來之心氣體一同 經由TiCl4氣體供給管線22而從噴淋頭10之第1氣體導 入口 11到達噴淋頭10內,並經過氣體通路13、15而從 吐出孔17被吐出至處理室1內,另一方面,MMH氣體槽 25內之MMH,係被從N2氣體供給源27而來之載體氣體 作承載並經由MMH氣體供給管線28而從噴淋頭1 0之第 2氣體導入口 12到達噴淋頭10內,並經過氣體通路14、 16而從吐出孔18被吐出至處理室1內。亦即是,噴淋頭 10,係成爲將TiC丨4氣體與MMH氣體完全獨立地供給至 處理室1內的後混合型,而此些氣體係在吐出後被混合並 產生反應。另外,並不限定於此,而亦可爲將TiCl4氣體 與MMH氣體以在噴淋頭10內而作了混合後之狀態而將 此些供給至處理室1內的預混合型。 另外,在MMH槽25以及MMH氣體供給管線28 處,係被設置有未圖示之加熱器,並成爲使Μ MH槽25 內之ΜΜΗ氣化,而防止ΜΜΗ氣體供給管線28內之 ΜΜΗ氣體的再液化。另外,在使ΜΜΗ氣化時,代替圖1 中所示之由Ν2載體氣體所致的起泡方式,亦可並不使用 載體氣體,而單純地對ΜΜΗ槽25作加熱,並經由藉此 所產生之成爲了飽和蒸氣壓的ΜΜΗ氣體來進行成膜。 又,在噴淋頭10之上段塊體l〇a的水平部10d中, 係被設置有用以將噴淋頭1〇加熱之加熱器45。於此加熱 器45處,係被連接有加熱器電源46,藉由從加熱器電源 -12- 201107520 46來對加熱器45供電,而將噴淋頭10加熱至所期望的 溫度。在上段塊體1 〇a之凹部處,係爲了提昇由加熱器 45所致之加熱效率,而被設置有絕熱構件47。 在處理室1之底壁lb的中央部處,係被形成有圓形 之孔35,在底壁lb處,係被設置有以將此孔35作覆蓋 的方式而朝向下方突出之排氣室36。在排氣室36之側 面,係被連f有排氣管37,於此排氣管37處,係被連接 有排氣裝置3 8。而,藉由使此排氣裝置3 8動作,係成爲 能夠將處理室1內減壓至特定之真空度。 在晶座2處,用以支持晶圓W並使其作升降之3根 (僅圖示2根)的晶圓支持銷3 9,係相對於晶座2之表 面而可突出陷沒地被設置,此些之晶圓支持銷39,係被 支持於支持板40處。而,晶圓支持銷39,係藉由空氣汽 缸等之驅動機構41而經由支持板40來作升降。 在處理室1之側壁處,係被設置有:用以在處理室1 以及與其相鄰而被設置之未圖示的晶圓搬送室之間,而進 行晶圓W之搬入搬出的搬入搬出口 42、和對此搬入搬出 口 42作開閉的閘閥43 » 身爲成膜裝置100之構成部的加熱器電源6以及 46、閥34、質量流控制器33、驅動機構41等,係構成爲 被與具備有微處理器(電腦)之控制部50作連接並被作 控制。又,在控制部5 0處,係被連接有:由作業員爲了 對成膜裝置100作管理而進行指令之輸入操作等的鍵盤或 是將成膜裝置100之動作狀態可視化而顯示的顯示器等所 201107520 成之使用者介面51。進而,在控制部50處,係被連接有 記憶部52,該記億部52,係儲存有:用以使在成膜裝置 1〇〇中所實行之各種處理於控制部50之控制下而實現的 控制程式、或是用以因應於處理條件而在成膜裝置1 00之 各構成部來實行處理之程式,亦即是配方(recipe )。處 理配方,係被記憶在記憶部52中之記憶媒體52a處。記 憶媒體,係可爲硬碟等之固定性的媒體,亦可爲 CDROM、DVD等之可搬性的媒體。進而,亦可從其他之 裝置,例如經由專用之線路而將處理配方適當地作傳送。 而後,因應於需要,藉由以從使用者介面51而來之指示 等而將任意之處理配方從記憶部52取出,並在控制部50 中實行,而能在控制部5 0之控制下,進行在成膜裝置 1〇〇中之所期望的處理。 接著,針對在上述一般之成膜裝置100中的TiN膜之 成膜方法作說明。 首先,藉由排氣裝置38,而將處理室1內設爲真空 抽氣狀態,並一面從N2氣體供給源24以及30而將N2氣 體經由噴淋頭10來導入至處理室1內,一面藉由加熱器 5來將處理室1內預備加熱至400 °C以下、較理想係預備 加熱至50〜400 °C,而在溫度安定了的時間點處,將從 TiCl4氣體供給源21而來之TiCl4氣體以及從N2氣體供 給源27而來之身爲載體氣體的N2氣體交互地流入’並將 TiCl4氣體以及MMH氣體經由噴淋頭而以特定流量來 導入至處理室1內,而在處理室1內壁、排氣室36內壁 -14- 201107520 以及噴淋頭ι〇等之處理室內構件表面上’將TiN膜預被 覆。 在預被覆處理結束後’停止MMH氣體以及TiC“氣 體之供給,並從N2氣體供給源24以及30來將N2氣體作 爲洗淨氣體而供給至處理室1內並進行處理室1內之洗 淨,之後,因應於需要’而流入N2氣體以及MMH氣 體,並進行成膜了的TiN薄膜之表面的氮化處理。 之後,將閘閥43設爲開,並將晶圓W藉由搬送裝置 (未圖示)而從晶圓搬送室(未圖示)來經由搬入搬出口 42而搬入至處理室1內,並載置在晶座2上,而將閘閥 43關閉,之後,將處理室1內設爲減壓狀態(真空狀 態)。在此狀態下,藉由加熱器5來將晶圓W加熱至400 °C以下、較理想係加熱至50〜400°C,並將N2氣體供給 至處理室1內,而進行晶圓W之預備加熱。在晶圓之溫 度成爲略安定的時間點處,而開始TiN膜之成膜。 首先,本實施形態之TiN膜之成膜方法的第1程序 例’係爲使用圖2之N2氣體、TiCl4氣體、MMH氣體之 時序圖的基本程序。亦即是,最初,係使將從TiCl4氣體 供給源21而來之TiCi4氣體承載在從n2氣體供給源24 而來之作爲載體氣體的N2氣體中而供給至處理室1內, 並使TiCU被吸著在晶圓w上的步驟1,進行〇.1〜 lOsec。接著,將停止Ticl4氣體之供給並從n2氣體供給 源24、30來作爲洗淨氣體而將n2氣體導入至處理室1內 並將處理室1內作洗淨的步驟2,進行〇.1〜l〇sec。而 -15- 201107520 後,將停止洗淨氣體並將MMH氣體與從N2氣體供給源 27而來之\2氣體一同供給至處理室1內並使被吸著了的 TiCl4與MMH產生熱化學反應而成膜TiN之步驟3,進行 0.1〜lOsec。之後,將停止MMH氣體之供給並從N2氣體 供給源24、30來將N2氣體導入至處理室1內作爲洗淨氣 體並將處理室1內作洗淨的步驟4,進行0.1〜lOsec。 將以上之步驟1〜4作爲1個循環,並反覆進行複數 個循環(例如10〜500次左右)。此時之氣體的切換,係 藉由依據從控制部50而來之指令來對於閥作切換而進行 之。 另外,在TiN膜之成膜時的理想之條件,係如下所 述。 (1) 處理室內壓力:10〜lOOOPa。 (2) TiCU 氣體流量:1〜200mL/min. (seem)。 (3) TiCl4用載體氣體流量:1〇〇〜l〇〇〇mL/min (seem) 。 (4 )用以供給MMH氣體之載體氣體流量:1〜 200mL/ min ( seem ) ° 又,本實施形態之TiN膜之成膜方法的第2程序例, 係爲使用圖2之N2氣體、TiCl4氣體、MMH氣體、選項 1-NH3氣體之時序圖。此係爲與在第1程序例中之MMH 氣體供給時序相配合地而同時流入NH3氣體者,其特徵 係在於:雖然MMH氣體之供給時間係爲相同,但是係使 高價之MMH氣體的供給量減少,並替代於此而藉由低價 -16- 201107520 之nh3來對補足氮化力。 接著,本實施形態之TiN膜之成膜方法的第3程序 例,係爲使用圖2之N2氣體、TiCl4氣體、選項2-MMH 氣體、選項2-NH3氣體之時序圖。此係爲將第1程序例中 之MMH氣體供給期間例如區分爲2個,並在其中一方 (前半)處而流動MMH氣體,且在另外一方(後半)處 而流動NH3氣體者。但是,在MMH氣體供給之結束與 NH3氣體供給的開始之間,係亦可存在有空出來的時間。 就算是設爲此種構成,亦能夠將高價之MMH的使用量減 少,並代替於此而藉由低價之NH3來補足氮化力。 進而,本實施形態之TiN膜之成膜方法的第4程序 例,係爲如同圖2中之選項3-H2氣體中所示一般,爲在 由上述第1〜第3程序例所致之TiN膜的成膜中,而流入 身爲還原氣體之H2氣體的成膜方法。藉由如此這般地在 TiN膜之成膜期間中而流入H2氣體,例如就算是當在處 理室1內由於微小的漏洩而混入有氧等的情況時,亦能夠 將其藉由H2氣體來作還原,而防止在TiN膜中混入有身 爲雜質之氧。 在進行了此種TiN膜之成膜後,將處理室1內作洗 淨,並將成膜後之晶圓搬出。而後,在對於特定枚數之晶 圓W進行了此種TiN膜之成膜後,在並不將晶圓搬入至 處理室1內的狀態下,而從C1F3氣體供給源3 1來將作爲 清淨氣體之C1F3氣體作供給,並進行配管、噴淋板1〇、 處理室1之清淨(cleaning)。 -17- 201107520 如同上述一般’在本實施形態中,係在TiN膜之成膜 中,作爲氮化氣體而使用MMH氣體,並藉由將TiCl4氣 體與MMH氣體交互地作供給並進行成膜,而能夠以400 °C以下、更理想係爲50〜400°C般之相較於作爲氮化氣體 而使用NH 3氣體的先前技術之成膜而更低的溫度下,來 將TiN膜作成膜。又,當使用有MMH氣體的情況時,就 算是在50〜400°C之低成膜溫度下,亦能夠以較先前技術 而更高的成膜速度來成膜TiN膜。 以下,針對其理由作說明。 MMH,係爲具備有下述之式(1)中所示之構造式 者,並爲沸點爲87.5 °C之常溫下爲液體的物質。 [化學式1] Η /Ν\ — (1) h3c nh2 如同此構造式中所示一般,MMH雖然係具備有N-N 鍵結,但是,由於此N-N鍵結係容易切斷,因此,係展 現有較ΝΗ3而更高之還原性。進而,藉由TiCU與ΜΜΗ 之交互性的成膜,係能夠將還原反應之反應性提升。其結 果,係能夠謀求成膜溫度之低溫化以及成膜速度之上升。 又,TiCl4與MMH,雖係藉由以下之(2)式的反應而產 生TiN,但是,此時,相較於產生CH2C12並作爲氮化氣 體而使用NH3的情況,係更易於將C1去除,而能夠將膜 中之殘留C1量相較於先前技術而更加降低。故而,藉由 作爲氮化氣體而使用MMH,係能夠在身爲低溫成膜的同 -18- 201107520 時亦將TiN膜之比電阻降低。 4TiCl4 + 4CH3NHNH2 — 4TiN + 8HC1 + 4CH2C12 + 2N2 + 4H2 …(2) 在使用有TiCl4氣體與MMH氣體之TiN膜的成膜 中,所形成之T i N膜的形態,係可依據溫度而區分爲以下 之3個階段。 (1 )超過3 30〇C而400°C以下(高溫區域) (2 ) 2 3 0 °C以上3 3 0 °C以下(中溫區域) (3 ) 5 0 °C以上未滿2 3 0 °C (低溫區域) 在藉由DSC (示差掃描熱量計)而對於將MMH在液 體之狀態下而作加熱時之溫度與發熱量間的關係作了掌握 後,係確認到:如圖3中所示一般,在230 °C附近處而開 始出現發熱山峰,並在284°C處而成爲峰値,且在3 3 0°C 附近而結束發熱山峰。此事,係代表:MMH,係從23 0°C 起而開始發生自我分解,並在3 3 0°C處而完全分解(自我 分解結束)。可以想見,在身爲自我分解開始溫度之2 3 0 t以上處,活性度係爲高,而容易形成結晶化了的TiN。 故而,在上述(1 )之高溫區域與(2 )之中溫區域中,雖 係形成以結晶爲主體之TiN膜,但是,在(3 )的低溫區 域中,係成爲以非晶質爲主體之TiN膜。結晶化TiN 膜,係具備有相較於非晶質TiN膜而比電阻爲更低之特 長。另一方面,非晶質TiN膜,由於係並不存在有結晶粒 界,因此,係具備有下述之特長:亦即是,膜之連續性係 -19- 201107520 爲良好,且表面形態(Morphology)亦爲良好,而阻障性 係爲高。另外’在(2 )之中溫區域中,所得到之TiN結 晶的結晶粒係爲微細,TiN膜表面之平坦性以及膜之連續 性係爲更高,而能夠得到較(3 )之高溫區域中所成膜之 TiN膜更高的阻障性。 又’在使用TiCl4氣體與MMH氣體而在接觸孔之底 部形成TiN膜時,若是晶圓溫度超過身爲自我分解結束溫 度之3 3 0°C,則如圖4 A之模式中所示一般,在接觸孔之 中間位置處,會由於與側壁間之熱反應,而使甲胺 (CH3NH2、在圖4A中,係標記爲MA)與NH3分解,在 底部處,MMH係枯竭,而階差覆蓋率係變差。相對於 此,在晶圓溫度爲未滿身爲自我分解溫度之230°C的情況 時,如圖4B之模式中所示一般,由於MMH係並不作分 解地而到達接觸孔之底部,因此,在底部係產生有充分之 成膜反應,而階差覆蓋率(塡埋性)係極爲良好。在230 °C以上33 0 °C以下時,MMH之一部份雖然會由於與側壁 間之熱反應而作分解,但是,MMH係並不會完全枯竭, 而會到達接觸孔之底部,因此,係能夠得到良好之階差覆 蓋率(塡埋性)。亦即是,在上述(1 )之高溫區域中, 雖然階差覆蓋率(塡埋性)係爲差,但是,在上述(2 ) 之中溫區域、(3 )之低溫區域中,係能夠得到良好的階 差覆蓋率(塡埋性)。 於圖5中,對於實際使用TiCl4氣體以及MMH氣體 並對於溫度作改變而成膜TiN膜,並對於成爲階差覆蓋率 -20- 201107520 (塡埋性)之指標的背面繞入量之溫度依存性作了掌握的 結果作展示。此係爲當在表面上成膜TiN膜時而對於在晶 圓背面處從晶圓邊緣起而在幾mm之範圍內出現了沈積一 事作了測定的結果,若是該量越大,則對於空隙之塡埋性 係成爲越良好。如同此圖中所示一般,若是晶圓溫度成爲 較3 30°C附近而更低,則繞入量係急遽地上升。亦即是, 係確認到:藉由使溫度成爲較上述(2 )之中溫區域更 低,塡埋性係成爲良好。另外,在此圖中,雖然在23 0 °C 附近以及3 3 0 °C附近係存在有反曲點,但是,可以推測 到,此係與MMH在23 0°C處開始分解並在330°C完全分 解一事有所關連。 進而,關於成膜速度,藉由作爲氮化氣體而使用 MMH氣體,雖然係能夠得到高成膜速度,但是,若是將 (1 )之高溫區域與(2 )之中溫區域作比較,則係在晶圓 溫度爲更高之(1 )處而能夠得到較高的成膜速度。又, 在(3 )之低溫區域處的非晶質TiN膜的成膜中,雖然係 爲未滿23 0°C之低溫,但是,係能夠得到高成膜速度。 又’膜中之應力,係依據 (1 )高溫區域> (2 )中溫區域> (3 )低溫區域 的順序而變小。 由以上記載’可以得知:在(1 )的高溫區域中,係 適合於:雖然要求有低的比電阻,但是對於階差覆蓋率 (塡埋性)係並未有太多的要求之用途,例如CAP或者 是硬遮罩等之平塗膜’或者是縱橫比爲小(1〜5左右) -21 - 201107520 之上層配線膜的阻障膜。在(2 )之中溫區域 於:比電阻爲低,且階差覆蓋率(塡埋性) 途,例如 DRAM之電容器電極中。在(3) 中,係適合於:階差覆蓋率爲良好且阻障性爲 例如適合於作爲配線或者是插頭之阻障膜。 亦可將此些之高溫區域、中溫區域、低溫 膜了的膜適當地作組合使用。例如,係可在 部電極處,將在中溫區域處而成膜了的TiN膜 域處而成膜了的TiN膜作組合使用。圖6係爲 電容器作展示之構造圖。圖中,符號Π1係爲 在下部電極111之上,係被形成有由High-k 介電質膜112,在此介電質膜112之上,係被 電極113。當使用TiN膜作爲上部電極113的 是將先前技術之NH3作爲還原劑而成膜TiN 成膜溫度就算再低亦係爲450 °C左右,並且 TiN膜的應力,係到達0.8〜0.9GPa。故而, 質膜1 12上而成膜此種TiN膜,介電質膜1 12 化,因此,由於結晶之粒界,會使漏洩電流增 此,若是在介電質膜112之上適用上述低溫區 和中溫區域處之成膜並形成作爲上部電極113 則係能夠防止介電質膜1 1 2之結晶化》亦即是 質膜112之上,首先藉由低溫區域處之成膜來 作爲緩衝材而起作用的應力爲小之非晶質TiN 於其之上而將由中溫區域之成膜所致的TiN膜 中,係適合 爲良好之用 之低溫區域 高之用途, 區域中所成 DRAM之上 與在低溫區 對於DRAM 下部電極, 材料所成之 形成有上部 情況時,若 膜,則其之 ,所成膜之 若是在介電 會引起結晶 加。相對於 域處之成膜 之TiN膜, ,係在介電 成膜較薄之 膜,再進而 作層積,並 -22- 201107520 作爲上部電極11 3。若是設爲此種構成,則在介電質膜 1 1 2處所施加之溫度,就算再高亦係成爲身爲中溫區域之 溫度的3 3 0°C左右,進而,中溫區域之膜的應力,亦係成 爲0.4GPa左右,而被降低至先前技術之TiN膜的一半左 右。其結果,介電質膜1 1 2之結晶化係被防止,並能夠做 成漏洩電流爲少之DRAM電容器。另外,當將在高溫區 域、中溫區域、低溫區域處而成膜之膜作組合的情況時, 係可將此些之成膜在相同之處理室中而進行,亦可使用另 外之處理室。 另外,上述(1 )之高溫區域的溫度範圍,係以3 5 0 〜400 °C爲更理想。另外,上述(3 )之低溫區域的溫度範 圍,係以1 0 0〜2 0 0 °C爲更理想。 接下來,針對藉由本實施形態之方法而實際成膜了 TiN膜的結果作說明。 於此,係對於成膜時之晶圓溫度作各種變更,並成膜 了 TiN膜。溫度以外之條件,係如下所述。 處理室壓力:90Pa
TiCU 氣體流量:28mL/min ( seem) (晶圓每單位面積之流量:〇.〇4sccm/ cm2)
TiCl4氣體供給時間(每一次):lsec N2 洗淨流量:3500mL/min ( seem) (晶圓每單位面積之流量:5sccm/cm2) N2洗淨時間(每一次)·· 2sec MMH 氣體流量:28mL/ min ( seem) -23- 201107520 (晶圓每單位面積之流量:0.04sccm / cm2) MMH氣體供給時間(每一次):lsec N2 洗淨流量:3500mL / min ( seem) (晶圓每單位面積之流量:5sccm / cm2) N2洗淨時間(每一次):6sec 又,爲了作比較,代替Μ Μ Η氣體,而使用先前技術 之ΝΗ3,並同樣的對溫度作變更,而成膜了 TiN。溫度以 外之條件,係如下所述。 處理室壓力:90Pa
TiCU 氣體流量:28mL/min(sccm) (晶圓每單位面積之流量:0.04sccm / cm2)
TiCl4氣體供給時間(每一次):lsec N2 洗淨流量:3500mL/min(sccm) (晶圓每單位面積之流量:5sccm/cm2) N2洗淨時間(每一次):2sec NH3 氣體流量:2800mL/min(sccm) (晶圓每單位面積之流量:4sccm/ cm2 ) NH3氣體供給時間:lsec N2 洗淨流量:3500mL / min ( seem) (晶圓每單位面積之流量:5sccm / cm2) N2洗淨時間(每一次):6sec 針對所得到之膜,而對於成膜時之晶圓溫度與膜厚間 的關係作了掌握。將結果展示於圖7中。如此圖中所示一 般,藉由作爲氮化氣體而使用MMH,相較於使用NH3氣 -24- 201107520 體’其膜厚係爲大’而可以得知其成膜速度係爲大。又, 係得知了 :藉由作爲氮化氣體而使用 MMH,就算是在 1 0 0 °c —般之低溫下,亦能夠得到大的膜厚。 又’針對所得到之膜’而對於成膜時之晶圓溫度與比 電阻間的關係作了掌握。將結果展示於圖8中。如此圖中 所示一般’可以得知’藉由作爲氮化氣體而使用ΜΜΗ, 相較於使用Ν Η 3氣體所得到之T i Ν膜,其比電阻係爲 /J、〇 進而,對於使用TiCl4氣體與MMH氣體而以1〇〇 ΐ:、20(TC、2 5 0 °C、4〇〇°C來作了成膜之本實施形態的 TiN膜的表面之狀態作了掌握。圖9係爲此些之TiN膜的 表面之掃描型電子顯微鏡(SEM )照片。由此圖,可以得 知,在400°C以及250°C下所成膜者,係被觀察到TiN之 結晶粒界。在此些之中,係以25(TC者的結晶粒爲較微 細,而表面之平坦性係爲高。在藉由 X線繞射裝置 (XRD )而對於此些之膜的結晶性作了測定後,其結果, 係確認到:能夠得到TiN結晶之峰値。另一方面’在100 °C以及200°C所成膜者’係並沒有觀察到粒界’而可以得 知其係代表著平滑性極高之表面狀態。在藉由XRD而對 於此些之膜的結晶性作了測定後’其結果’係並無法明確 地得到代表結晶之峰値’而確認到其係爲非晶質狀態。 爲了作比較,於圖10中’對於作爲氮化氣體而使用 NH3氣體來以400°C而作了成膜之TiN膜的表面之掃描型 電子顯微鏡(SEM)照片作展示。如同此圖中所示一般’ -25- 201107520 可以得知,使用NH3氣體而在400°c下 爲與使用MMH氣體而在250°C下所作 晶狀態。 如同上述一般,若依據本發明之實 面將被處理基板加熱,一面將身爲爸 TiCl4氣體與身爲聯胺系化合物氣體之 供給至身爲處理容器之處理室內並在身 圓上成膜身爲金屬氮化膜之TiN膜,係 高成膜速度來進行成膜。 又,藉由一面將身爲被處理基板: 3 3 0 °C且 400 t:以下之高溫區域,一B MMH氣體交互地供給至身爲處理容器 圓上成膜以T i N結晶作爲主體之T i N 爲高,且能夠得到比電阻爲低之TiN膜 進而,藉由一面將身爲被處理基板 °C以上3 3 0 °C以下之中溫區域,一面將 氣體交互地供給至身爲處理容器之處理 膜以TiN結晶作爲主體之TiN膜,能 且階差覆蓋率(塡埋性)爲良好之TiN 更進而,藉由一面將身爲被處理: 5〇°C以下且未滿23 0 °C之低溫區域,一 MMH氣體交互地供給至身爲處理容器 圓上成膜以非晶質作爲主體之TiN膜, 率爲良好且阻障性爲高之TiN膜。 作了成膜者,係成 了成膜者相當之結 施形態,則藉由一 ί屬氯化物氣體之 ΜΜΗ氣體交互地 爲被處理基板之晶 能夠以更低溫且更 之晶圓加熱至超過 0將 TiCl4氣體與 之處理室內並在晶 膜,其成膜速度係 〇 之晶圓加熱至2 3 0 TiCl4氣體與MMH 室內並在晶圓上成 夠得到比電阻爲低 膜。 S板之晶圓加熱至 面將TiCl4氣體與 之處理室內並在晶 能夠得到階差覆蓋 -26- 201107520 另外’本發明係不被上述實施形態所限定,而可作各 種之變形。例如’在上述實施形態中,在將Tic 14氣體與 MMH氣體交互作供給時,係使用有將TiCl4、洗淨、 MMH、洗淨作爲一個循環,並將此進行1個循環或者是 反覆進行複數個循環一般之供給手法,但是,係並不被限 定於此’例如,亦可如圖1 1中所示一般,設爲將同時供 給TiCl4氣體與MMH氣體(TiN成膜,步驟11)、洗淨 (步驟12 )、供給MMH氣體(氮化,步驟13 )、洗淨 (步驟14)作爲1個循環,並將此進行1個循環或者是 反覆進行複數個循環一般之交互性的供給方法。 又,在上述實施形態中,雖係針對作爲氮化氣體而使 用有MMH氣體之例而作了展示,但是,只要是具備著具 有大的還原力之N-N鍵結者即可,而可列舉出藉由下述 之式(3 )所展示之一般式而表現的聯胺系化合物,例如 聯胺、二甲基聯胺、第三丁基聯胺等。 [化學式2]
Rk /R3 N —N ---(3) r2/ \r4 但是,R丨、R2、R3、R4,係爲一價(具備有1根的結 合鍵)之碳化氫。 進而,在上述實施形態中,作爲金屬氮化膜,雖係展 示有TiN膜之例,但是,係並不被限定於此,只要是能夠 將金屬氯化物藉由MMH等之聯胺系化合物來作還原、氮 化並得到氮化物者,則均可作適用’例如’係可適用在 -27- 201107520
TaN膜、NiN膜、WN膜之成膜中。 更進而,作爲處理基板,係並不限定於半導體晶圓, 而例如亦可爲以液晶顯示裝置用基板作爲代表之F P D用 基板等的其他基板。 【圖式簡單說明】 [圖1]對於在本發明之其中一種實施型態的金屬氮化 膜之成膜方法的實施中所使用之成膜裝置的其中一例作展 示之槪略剖面圖。 [圖2]對於本發明之其中一種實施形態的成膜方法之 數種的程序例作展示之時序圖。 [圖3]對於將MMH作了加熱時之溫度與發熱量間的 關係作展示之圖。 [圖4A]對於在使用TiCl4氣體與MMH氣體而在接觸 孔之底部形成TiN膜時,晶圓溫度超過了身爲自我分解結 束溫度之3 3 0 °C的情況時之模式作展示的圖。 [圖4B]對於在使用TiCl4氣體與MMH氣體而在接觸 孔之底部形成TiN膜時,晶圓溫度爲未滿23 的情況時 之模式作展示的圖。 [圖5]對於使用TiCl4氣體以及MMH氣體並對於溫度 作改變而成膜TiN膜,並對於成爲階差覆蓋率(塡埋性) 之指標的背面繞入量之溫度依存性作了掌握的結果作展示 之圖。 [圖6]對於作爲上部電極而適用了 TiN膜的DRAM作 -28- 201107520 展示之構造圖。 [圖7]對於作爲氮化氣體而使用了 MMH 和使用了 NH3氣體的情況下之成膜時的晶圍 間的關係作展示之圖。 [圖8]對於作爲氮化氣體而使用了 MMH 和使用了 NH3氣體的情況下之成膜時的晶圓 阻間的關係作展示之圖。 [圖9]使用TiCl4氣體與MMH氣體而以 °C ' 250°C、400°C來作了成膜之TiN膜的表丨 片。 [圖1〇]使用TiCl4氣體與NH3氣體而以 成膜之TiN膜的表面之SEM照片。 [圖11]本發明之其他實施形態的成膜方沒 【主要元件符號說明】 1 :處理室 1 a :天花板壁 lb :底壁 2 :晶座 3 :支持構件 4 :導引構件 5 :加熱器 6 :加熱器電源 1 〇 :噴淋頭 氣體的情況 ]溫度與膜厚 氣體的情況 1溫度與比電 100。。、200 S之SEM照 4 0 0 °C來作了 t之時序圖。 -29- 201107520 1 〇 a :上段塊體 l〇b :中段塊體 l〇c :下段塊體 1 0 d :水平部 1 〇 e :環狀支持部 1 1 :第1氣體導入口 12 :第2氣體導入口 13 :氣體通路 14 :氣體通路 15 :氣體通路 16 :氣體通路 1 7 :吐出孔 1 8 :吐出孔 20 :氣體供給機構 21 : TiCl4氣體供給源 22 : TiCl4氣體供給線 23 : N2氣體供給線 24 : N2氣體供給源 25 : MMH 槽 26 :載體氣體供給線 27 : N2氣體供給源 28 : MMH氣體供給線 29 :洗淨氣體供給線 30 : N2氣體供給源 -30 201107520 31 : C1F3氣體供給源 32a : C1F3氣體供給線 32b : C1F3氣體供給線 3 3 :質量流控制器 34 :閥 35 :孔 3 6 :排氣室 3 7 :排氣管 3 8 :排氣裝置 39 :晶圓支持銷 40 :支持板 41 :驅動機構 42 :搬入搬出口 43 :閘閥 4 5 :加熱器 46 :加熱器電源 47 :絕熱構件 5 0 :控制部 5 1 :使用者介面 52 :記憶部 52a :記憶媒體 60 : NH3氣體供給源 61 : H2氣體供給源 62 : NH3氣體供給線 -31 201107520 63 : H2氣體供給線 100 :成膜裝置 1 1 1 :下部電極 1 1 2 :介電質膜 1 1 3 :上部電極 w :晶圓 -32

Claims (1)

  1. 201107520 七、申請專利範圍 1. 一種金屬氮化膜之成膜方法,其特徵爲,包含: 將被處理基板搬入至處理容器內,並將前述處理容器 內保持爲減壓狀態之工程;和 將前述處理容器內之被處理基板保持在400°C以下的 溫度之工程;和 將金屬氯化物氣體與聯胺系化合物氣體交互性地供給 至前述處理容器內並在被處理基板上成膜金屬氮化膜之工 程。 2 ·如申請專利範圍第1項所記載之金屬氮化膜之成 膜方法,其中,前述金屬氯化物係爲TiC 14,聯胺系化合 物係爲甲基聯胺,金屬氮化膜係爲TiN膜。 3 ·如申請專利範圍第2項所記載之金屬氮化膜之成 膜方法,其中,前述所得到之T i N膜係爲以T i N結晶作 爲主體者。 4 ·如申請專利範圍第2項所記載之金屬氮化膜之成 膜方法,其中,前述所得到之TiN膜係爲以非晶質作爲主 體者。 5 ·如申請專利範圍第1項所記載之金屬氮化膜之成 膜方法,其中, 將金屬氯化物氣體供給至前述處理容器內,而將前述 處理容器內作洗淨(purge ),並將聯胺系化合物氣體供 給至前述處理容器內,而將前述處理容器內作洗淨, 將上述操作作爲1個循環,並進行1個循環或者是反 -33- 201107520 覆進行複數個循環。 6. 一種金屬氮化膜之成膜方法,其特徵爲,具備 有: 將被處理基板搬入至處理容器內,並將前述處理容器 內保持爲減壓狀態之工程;和 將前述處理容器內之被處理基板加熱至超過3 3 0°C且 400°C以下的溫度之工程;和 將TiCl4氣體與甲基聯胺氣體交互性地供給至前述處 理容器內並在被處理基板上成膜以TiN結晶作爲主體之 TiN膜之工程。 7 .如申請專利範圍第6項所記載之金屬氮化膜之成 膜方法,其中, 將TiCl4氣體供給至前述處理容器內,而將前述處理 容器內作洗淨,並將甲基聯胺氣體供給至前述處理容器 內,而將前述處理容器內作洗淨, 將上述操作作爲1個循環,並進行1個循環或者是反 覆進行複數個循環。 8.—種金屬氮化膜之成膜方法,其特徵爲,包含: 將被處理基板搬入至處理容器內,並將前述處理容器 內保持爲減壓狀態之工程;和 將前述處理容器內之被處理基板加熱至23 0 °C以上 3 3 0°C以下之工程;和 將TiCl4氣體與甲基聯胺氣體交互性地供給至前述處 理容器內並在被處理基板上成膜以TiN結晶作爲主體之 -34- 201107520 TiN膜之工程。 9. 如申請專利範圍第8項所記載之金屬氮化膜之成 膜方法,其中, 將TiCl4氣體供給至前述處理容器內,而將前述處理 容器內作洗淨,並將甲基聯胺氣體供給至前述處理容器 內,而將前述處理容器內作洗淨, 將上述操作作爲1個循環,並進行1個循環或者是反 覆進行複數個循環。 10. —種金屬氮化膜之成膜方法,其特徵爲,包含: 將被處理基扳搬入至處理容器內,並將前述處理容器 內保持爲減壓狀態之工程;和 將前述處理容器內之被處理基板加熱至50°C以上未 滿23 0 °C之工程;和 將TiCl4氣體與甲基聯胺氣體交互性地供給至前述處 理容器內並在被處理基板上成膜以非晶質作爲主體之TiN 膜之工程。 11. 如申請專利範圍第1 〇項所記載之金屬氮化膜之 成膜方法,其中, 將TiCl4氣體供給至前述處理容器內,而將前述處理 容器內作洗淨,並將甲基聯胺氣體供給至前述處理容器 內,而將前述處理容器內作洗淨, 將上述操作作爲1個循環,並進行1個循環或者是反 覆進行複數個循環。 12. —種金屬氮化膜之成膜方法,其特徵爲,包含: -35- 201107520 將被處理基板之溫度設爲50 °C以上未滿230 °C TiCl4氣體與甲基聯胺氣體交互地作供給而在被處 上形成以非晶質爲主體之TiN膜之工程;和 將被處理基板之溫度設爲2 3 0 °C以上3 3 0 °C以 將TiCl4氣體與甲基聯胺氣體交互地供給至被處 上’而在前述以非晶質爲主體之TiN膜上成膜以 晶作爲主體之TiN膜之工程。 13.—種記憶媒體,係爲記憶有在電腦上動作 對於成膜裝置作控制之程式的記憶媒體,其特徵爲 前述程式,在實行時,係於電腦處而對於前述 置作控制,並使其進行包含有下述工程之金屬氮化 膜方法: 將被處理基板搬入至處理容器內,並將前述處 內保持爲減壓狀態之工程;和 將前述處理容器內之被處理基板保持在400 °C 溫度之工程:和 將金屬氯化物氣體與聯胺系化合物氣體交互性 至前述處理容器內並在被處理基板上成膜金屬氮化 程。 ,並將 理基板 下,並 理基板 TiN結 並用以 成膜裝 膜之成 理容器 以下的 地供給 膜之工 -36-
TW099109226A 2009-03-27 2010-03-26 Method for forming metal nitride film, and storage medium TW201107520A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009079723 2009-03-27

Publications (1)

Publication Number Publication Date
TW201107520A true TW201107520A (en) 2011-03-01

Family

ID=42780956

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099109226A TW201107520A (en) 2009-03-27 2010-03-26 Method for forming metal nitride film, and storage medium

Country Status (6)

Country Link
US (1) US20120034793A1 (zh)
JP (1) JP2010248624A (zh)
KR (1) KR20110131220A (zh)
CN (1) CN102365386A (zh)
TW (1) TW201107520A (zh)
WO (1) WO2010110263A1 (zh)

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JPWO2012073938A1 (ja) * 2010-11-29 2014-05-19 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9224773B2 (en) 2011-11-30 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal shielding layer in backside illumination image sensor chips and methods for forming the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6243290B2 (ja) * 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6735580B2 (ja) * 2016-03-16 2020-08-05 大陽日酸株式会社 半導体装置の製造方法及び基板処理装置
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) * 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP2021188094A (ja) 2020-05-29 2021-12-13 大陽日酸株式会社 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3449428B2 (ja) * 1992-06-08 2003-09-22 富士通株式会社 半導体装置の製造方法
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
US6235631B1 (en) * 1997-10-30 2001-05-22 Texas Instruments Incorporated Method for forming titanium aluminum nitride layers
TWI283006B (en) * 2004-08-31 2007-06-21 Hynix Semiconductor Inc Method for forming tungsten nitride film
JP4947922B2 (ja) * 2005-05-23 2012-06-06 東京エレクトロン株式会社 成膜方法およびコンピュータにより読み取り可能な記憶媒体
US7786006B2 (en) * 2007-02-26 2010-08-31 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition

Also Published As

Publication number Publication date
US20120034793A1 (en) 2012-02-09
CN102365386A (zh) 2012-02-29
WO2010110263A1 (ja) 2010-09-30
KR20110131220A (ko) 2011-12-06
JP2010248624A (ja) 2010-11-04

Similar Documents

Publication Publication Date Title
TW201107520A (en) Method for forming metal nitride film, and storage medium
JP3670628B2 (ja) 成膜方法、成膜装置、および半導体装置の製造方法
JP6222880B2 (ja) 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
JP5225957B2 (ja) 成膜方法および記憶媒体
JP2007154297A (ja) 成膜方法および成膜装置
WO2007058120A1 (ja) 半導体装置の製造方法および基板処理装置
TW201110234A (en) A method of manufacturing a semiconductor device and substrate processing apparatus
TW201139721A (en) Thin film manufacturing apparatus, thin film manufacturing method, and method for manufacturing semiconductor device
TW201131005A (en) Process for production of ni film
TW200847278A (en) Film formation apparatus for semiconductor process and method for using the same
TW201017758A (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2015124397A (ja) コンタクト層の形成方法
JP2005347446A (ja) 気相成長装置、薄膜の形成方法、および半導体装置の製造方法
TWI726837B (zh) Ti膜之成膜方法
WO2006126440A1 (ja) 成膜方法およびコンピュータにより読み取り可能な記憶媒体
JP2013147708A (ja) TiSiN膜の成膜方法および記憶媒体
JP2013145796A (ja) TiSiN膜の成膜方法および記憶媒体
JP2012072455A (ja) Ge−Sb−Te膜の成膜方法および記憶媒体
JP2004346401A (ja) 成膜方法
TWI548769B (zh) Preparation of nickel film
JP2014185353A (ja) ルテニウム膜の形成方法および記憶媒体
WO2010095498A1 (ja) Cu膜の成膜方法および記憶媒体
JP2022065303A (ja) 基板処理方法および基板処理装置
TW200818272A (en) Film forming method and film forming apparatus
TWI515326B (zh) Film forming method and plasma film forming device