TW201040680A - System for processing of substrate, method of processing of substrate, and storage medium that stores program - Google Patents

System for processing of substrate, method of processing of substrate, and storage medium that stores program Download PDF

Info

Publication number
TW201040680A
TW201040680A TW098142713A TW98142713A TW201040680A TW 201040680 A TW201040680 A TW 201040680A TW 098142713 A TW098142713 A TW 098142713A TW 98142713 A TW98142713 A TW 98142713A TW 201040680 A TW201040680 A TW 201040680A
Authority
TW
Taiwan
Prior art keywords
signal
linked
substrate processing
interlocking
state
Prior art date
Application number
TW098142713A
Other languages
English (en)
Inventor
Masaru Nishimura
Hiroyuki Takano
Souichi Nishijima
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201040680A publication Critical patent/TW201040680A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/05Programmable logic controllers, e.g. simulating logic interconnections of signals according to ladder diagrams or function charts
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/32Address formation of the next instruction, e.g. by incrementing the instruction counter

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Safety Devices In Control Systems (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201040680 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種具有連鎖裝置之基板處理系 統;基板處理方法;記憶有用於實行基板處理系統功能 之程式的記憶媒體;及閥體。 【先前技術】 Ο 近年來’半導體製造工廠係建構有複數個基板處理 裝置為叢集(duster)設置之基板處理系統。各基板處理 裝置係透過網路而連接有控制裝置。控制裝置係依照製 程配方而在特定的時間點將控制訊號輸出至基板處理 裝置。基板處理裝置係依照控制訊號來驅動例如,各種 閘閥的開閉、幫浦或APC(自動壓力調整:Aut〇matic Pressure Control)閥之閥體的開合度等,藉以對基板實施 蝕刻或成膜等所欲處理。 當基板處理裝置為異常狀態時,即使依照控制訊號 來使基板處理裝置内的機器動作,仍有可能發生無法使 基板處理裝置内保持在所欲氣氛、無法對基板實施所欲 處理、或搬送中的基板衝撞到機器等事故。因此,自過 去起便考慮一種利用連鎖(interl〇ck)裝置來防止機器的 錯誤動作之機制。連鎖裝置會輸人來自用以檢測基板處 理裝置内各機器狀態之檢測器的訊號,而所輪入之訊號 滿足所设定之連鎖條件時,會輸出用以判斷為異常事態 並避免機器的錯誤動作之連鎖訊號。該機器會依照連鎖 3 201040680 訊號的指示而停止動作。 *、θ =備上述功能之連鎖裝置中,硬體式連鎖裝置係藉 (硬曰體)來建構連鎖條件,故迴路設計時的負擔較 另別疋近年來’隨著卫廠内基板處理系統的多樣化 化,更增大設計時的負擔,且設計後要改變或增 加連鎖迴路亦變得困難。 a辨因此’便開發—種可使建構在迴路之連鎖條件程式 人.)化而控制之軟體式連鎖裝置(例如參照專利文 ^入日本特開平5-120006號公報軟體式連鎖裝置中, LC(Programmable Logic Controller)為經安全認證 之軟體式連鎖裝置。 备然而,當基板處理裝置設置有複數個同類機器時, 、‘有可,擇各機器為相互連動動作或非連動動作的情 況。此時,選擇為連動動作之複數個機器會依照控制訊 號而連動並實行相同的動作(叢集控制)。另一方面制選 擇為非連動動作之機H即使純了㈣訊號仍不會加 以對應,而係維持現狀。 例如,考量當於同一基板處理裝置設置有複數個連 接至幫浦之APC閥之情況。相對於所有Apc闕的閥體 為全閉狀態,當欲使基板處理裝置的後側充分地排氣, 但前側不希望排氣時,作業員係將後側的APC閥設定 為連動狀態,而將前側的APC閥設定為非連動狀態。 此=態下,當使APC _閥體為全開之控制訊號^輸 出時,為連動狀態之後侧APC閥的閥體會對應於其而
201040680 fit狀態。相對於此,為非連動狀態之前側APC 二會_全閉狀態。如此地’藉由選擇連動或
動狀態’則可使複數個同類機器實行非完全的 動作。 . J 接从針對控制職之叢集控制,其針對連鎖訊號亦會同 ’進行。亦即,選擇為連動狀態之複數個機器會 於自軟體式連鎖裝置所輸出之連鎖訊號而被叢集 制’但選擇為非連練態之卿财會對應於連鎖^ 號’而係維持現狀。因此,即使是依照來自軟體式連鎖 装置的指示而欲避免事故發生之緊急情況’仍會無法依 照連鎖訊號來強制地使非連動狀態之機器動作。如此地 當連鎖功能不健辦,辭賊舰安錢財量的迅 速對應,而有使系統陷於危險狀態之虞。例如,當系統 當機或稼_況為不穩定之狀態時,便會紐使基板處 谬裝置内維持在所欲氣氛,抑或使得經基板處理後的產 物失去作為產品的價值,而造成產能降低、系統生産性 卞降。又,對系統管理者的負擔來說亦會增加。 【發明内容】 因此’本發明係提供―種當軟體式連鎖裝置發出異 常訊號時’不論為連動或非連動任—者的狀態,均會依 龄連鎖訊絲錢控制_機器之基板處理线;基板 處理方法;m㈣實行基板處理线魏之程式的 I己憶媒體;及閥體。 5 201040680 課題,本發料卜實施雜提供 土板处理糸統’係具有輸出用以控制基板處理裝 :减之控制裂置,及在滿足特定的連鎖條件時於: 連鎖訊號之軟體式連鎖裝置。美輪出 處理裝置係設置有複數彳@ 1 '、、'、,该基板 擇連動或非連動m 針對每個機器選 器相互連動或非連動地 ^’以使额數個同類機 斷該複數_類機器已滿 後,將連鎖訊疋預先-疋的特疋連鎖條件 當該複數個同 ^會依照該連鎖訊號的指示而連動動作。 之撿測器檢測出異 後,合輪φ、^_6滿足所設定之連鎖條件 定為連動或非t時,該複數個同類機器不論設 指示而動作。=Γθ的狀態’均會依照連鎖訊號的 動狀態,可強:任-者為非連 指示之動作……:機w實仃對應於連鎖訊號的 的機器,故可二ίί ’,訊號的指示會反應在所有 的生產況穩定,並提高產能及系統 在輪出」減系統官理者的維修保養負擔。 間’ yr禎金务/該特定的連鎖條件之連鎖訊f虎的期 複數個同類機器亦可使該控_所== 201040680 讯號為無效,並維持依照該連鎖訊號的指示之連動動 作。 “亦可具有顯示裝置,其係在輸出已滿足該特定的連 鎖條件之連鎖訊號的期間,顯示該複數個同類機器中, 非連動狀態的機器亦會與連動狀態的機器— 作之狀態。 ㈣ Ο /在+已滿足該特定的連鎖條件之連鎖訊號被解除 後,該複數個同類機器亦可使該控制裝置所輪出之控制 訊號為有效,並使依照該控制訊號的指示而選擇該2動 狀態之機器連動動作。 :已滿足該特定的連鎖條件之連鎖訊號被解除 後’補錢置亦可顯示該複數個同麵 連動的狀態。 逆勒次# =複數個同類機器的例子舉财設£於該基板處 理裝置之複數個APC閥。 ο 數個同類機II的其他例子舉例有另外設置於 該基板處縣置之_閥及壓力控 閉間及堡力控制闕之任一者不論為連動或m 鎖訊號的料作連祕料,均會依照該連 種A二上ί問題,本發明其他實施型態提供-種基板處理方法,_彻—種 理方法,板處㈣ A Μ之基板處 裝置的控制訊號之控制出用以控制基板處理 制裝置’及在滿足特定的連鎖條件 7 201040680 Ξ置==軟體式連鎖裝置;其中該基板處理 數=r’並針對每個機器選擇連 ,、狀L,以使該複數個同類機器相 齡、連動作;藉由職體式連鎖裝置而在判 件後,從該倾錢料置定的特定連鎖條 中的:者輪入有該連鎖訊號後,不論為連動 均使該複數個同類機器依照該 迷鎖讯就的指不而連動動作。 又’為解決上述問題’本發明其他實施 係記憶有使電腦實行基板處理系統功能之 板處理系統具有:㈣用吨制基板處理裝 置的控制訊號之控制裝置,及在滿足特定的連鎖條件 時’輸出連鎖城之㈣式連鎖裝置; 式係使電腦實㈣下處理:㈣基板處理裝置設i有i 數個同類機器’並針對每個機器選擇連動或非連動其中 -者的狀態’以使該複數個同類機器相互連動或非ς動 地動作之處理;藉由錄體式連鎖裝置而在判斷為該複 數個同類機器係已滿足預先設定的特定連鎖條件後,從 該軟體式連鎖裝置輸出連鎖訊號之處理;當該複數個同 類機器中的任-者輸人有該連鎖訊號後,不論為連動或 非連動任一者的狀態,均使該複數個同類機器依照該連 鎖訊號的指示而連動動作之處理。 為解決上述問題,本發明其他實施型態提供一種閥 201040680 二系目”2、於基板處理裝置並具有關閉功能;其中該閥 — '、:、、連賴式及料誠式,並在賴為已滿足特 疋白、連鎖條件後’不論為連動或非連動任—者的狀態, 均冒依照該連鎖訊號的指示而連動動作。 該閥體亦可複數地設置於該基板處理裝置。 該閥體亦可並聯地設置。 該閥體亦可設置於該基板處理裝置之排氣侧。 〜以上所&明地’本發明當軟體式連鎖裝置發出異 ¥ Λ號日ΤΓ ’貞彳不4為連動或非連動任—者的狀態,均可 依恥連鎖甙號來控制複數個同類機器。 【實施方式】 Α以下參照添附圖式,詳細說明本發明較佳實施形 態。又,以下的說明及添附圖式中,針對具有相同的結 構及功此之構成要件貞彳賦予相同符號*省略重複說明。 (第1實施形態) Τ先’針對本發明第1實施形態之基板處理系統, 參照圖1加以說明。圖i係第1實施形態之基板處理系 統的概略結構圖。 (基板處理系統) 基板處理系統10係具有上位pc(Pers〇nal Computer)100 、下位 PC2〇〇a〜2〇〇e 、安全 9 201040680 PLC(ProgrammabIe Logic Controller)300a〜300e、輸送模 組 TM(Transfer Module)、製程模組 PM(Process Module)l〜PM4。各機器彼此間係藉由例如,Ethernet(註 冊商標)等的網路400而相連接。又,上位pciOO係經 由 LAN(LocalAreaNetwork)500 而連接於主電腦 600。 下位PC200a〜200e係分別設置於位在無塵室cin内 的輸送模組TM、製程模組PM1〜PM4附近。上位PC100 係設置於無塵室Cln外部。上位PC100係藉由與下位 PC200a〜200e之間收送控制訊號以分別遠距離地控制 輸送模組TM及製程模組PM1〜PM4。具體而言,上位 PC100係利用輸送模組TM來送出用以搬送基板之控制 訊號’而利用製程模組PM1〜PM4來送出用以對基板運 行微細加工之控制訊號。 利用各製程模組PM所實施之基板處理的例子舉例 有利用製程模組PM1所實施之濺鍍處理、利用製程模 組PM2所實施之蝕刻處理、利用製程模組pm3所實施 之 CVD(Chemical Vapor Deposition :化學沉積薄膜成媒 法)成膜處理、利用製程模組PM4所實施之6層連續有 機EL膜沉積處理。製程模組PM及輸送模組TM的數 量或設置位置不限於此,可自由地設計。又,輸送模組 TM及製程模組PM1〜PM4係用以進行基板處理之基板 處理裝置的例子。上位PC100係輸出用以控制基板處理 裝置的控制訊號之控制裝置的例子。而亦可將上位 PC100與下位pc200a〜200e合併為控制裝置。 201040680 輪达权M TM、製程模組PM1〜PM4係分別裝設有 用以松測各拉組所裝設之機器狀態的檢測器群^$、 PMls PM4s。檢測器群TMs、pMls〜pM4s的檢測值會 分別被輸人至安全PLC3GGa〜安全pLc_係將 硬體式連鎖裝置利用硬體(安全迴路)所建構之連鎖功能 私·式化而相虽於可利用軟體來進行控制之經安全認證 後的軟體式連鎖裝置。 f) 安全瓜测錄輸入妹測n獅㈣訊號,而 檢測器群的檢測訊號滿足所設定之連鎖條件時,會輪出 顯示異常狀態之連鎖訊號。藉此,可使輸送模組TM、 製程模組PM1〜PM4内之上述機器的驅動暫時停止。其 結果為,例如可避免氣體的錯誤供給或基板衝撞到機器 等危險性,以保護輸送模組TM或製程模組pM内部的 機器’並且工廠内的作業員能容易進行維修保養。主電 腦600係藉由與上位Pcl〇〇之間進行資料的收送來管理 I彡 (進行資料管理等)基板處理系統1〇整體。 接下來,作為製程模組PM1〜PM4之内部結構的例 子,則針對用以實施CVD處理之製程模組PM3及用以 實施6層連續有機EL沉積膜處理之製程模組pM4的内 部結構,參照圖2及圖3來加以說明。圖2係概略顯示 置於製程模組PM3之微波電漿處理裝置(〇vd裂置') 的縱剖面圖,圖3係概略顯示設置於製程模組pM4之6 層連續有機EL沉積裝置的主要部份之立體圖。 11 201040680 (製程模組PM3的内部結構) 製程模組PM3之微波電漿處理裝置係具有頂面呈 開口之有底立方體形的處理容器Ce處理容器c的頂= 裝設有蓋體302。處理容器C與蓋體3〇2的接面萝 〇型環304,藉以維持處理室内的氣密性。處理=哭 ^蓋體302係由例如紹等金屬所構成,而為心^狀 /處理容器C的内部設置有用以載置玻璃 稱為「基板」)G之載置台306。載置台3〇Μ系由例 化鋁所構成,於其内部設置有供電部3〇8。供電 係透過整合器312而連接至高頻電源314。高頻魏叫 ,接地狀態。供電部3 0 8係藉由高頻電源3丨4所輸出之 局頻電功率來將特定的偏壓施加至處理容哭c 、立、 置台306係藉由筒體326而被加以支撐。載置台 圍設置有用以將處理室的氣體流動控制在較佳 ^ 隔板 328。 蓋體302設置有6根導波管33〇、槽孔天線及 複數片介電體334。各導波管33〇的剖面形狀為矩形, 而平行地並排設置於蓋體3〇2内部。 、槽孔天線332係於蓋體302下方與蓋體3〇2為一體 成型。槽孔天線332係由紹等非磁性體之金屬所形成。 天欠Vf」系於各導波管330下面開設有槽孔(開 J二f ;内及各槽孔内充填有氟樹脂、氧化1呂 (Al2〇3)、石夬等介電组件。 201040680 藉由該結構,從微波源336所輪出之微波會在各導 波管330傳播並通過槽孔天線332的槽孔,而^過各介 電體334入射至處理容器C内部。 於槽孔天線332下面處,複數個介電體334係藉由 糸柱342而被加以支撑。梁柱342係由銘等非磁性體所 形成。梁柱342係貫設有氣體導入管344 〇氣體導入管 344係經由氣體管346而連接至氣體供給源348。氣體 0 係由氣體供給源348所供給’並經由氣體管346而從氣 體導入管344被導入至處理容器内。 本實施形態係於同一基板處理裝置設置4個APC 閥。APC閥卜APC閥2、APC閥3、APC闊4(以下簡 單稱為APC1、APC2、APC3、APC4)係藉由調節閥體的 開合度來自動調節處理室内部的壓力。乾式幫浦 DRP(Dry Pump)356係透過各APC來將處理室内部粗略 地抽氣,渦輪分子幫浦TMP358則係將處理室内部抽真 空。藉此以將處理室内部維持在特定的真空度。 ❹ APC卜APC2、APC3、APC4中相鄰接之機器彼此 間係藉由Ethernet(註冊商標)等網路360而相連接。 APC1係經由下位PC200d而連接至上位pci〇〇之主要 侧自動壓力調整器。APC2、APC3、APC4係連鎖連接 於主要侧APC1之次要側自動壓力調整器。APC1、 APC2、APC3、APC4係由作業員而分別被設定為「連 動」或「非連動」其中之一。閘閥370係用以維持處理 室内的氣密性’且為基板G搬出入用之開閉口。 13 201040680 藉由該結構,從上位PC100傳送來的控制訊號會經 由下位PC200d而被傳送到各機器。例如,微波源336、 高頻電源3H、絲直流電源318、氣體供給源Μ8的 閥或流量控制器(皆未圖示)、APC1、Apc2、Apc3、 APC4、乾式幫浦DRP356、渦輪分子幫浦τΜρ358、閘 闕370等係依照控制訊號而在特定的時間點驅動。其結 果為’可使處理各II内維持在所欲真空度,並且被供給 至處理容器内部的氣體會因被導入至處理容器内之微 波的電場能量而被電漿化,以藉由所產生之電漿的作用CI 來對基板G進行成膜處理。 (檢測器群) 製程模組ΡΜ3裝設有各種檢測器S1〜S5來作為用 以檢測製程模& m3内部機器的狀態之檢測器群 PM3s,其檢測值(輸出訊號)則被送出至安全pLC3〇〇d。 具,而言,檢測器S1為開/閉開關。檢測器S1的 開關在蓋體302為關閉狀態時,會因來自蓋體3〇2的壓^』 迫力而打開(開關打開),而在蓋體3〇2為打開狀態時, 會因來自蓋體302的壓迫力消失而切斷(開關關閉)。如 此地,檢測器S1便會檢測處理容器c之頂面的開閉狀 悲,並將其結果送出至安全PLC3〇〇d。 +檢測器S2為内建於閘閥370之開口度檢測器,係 藉由檢測閘閥370的開口度來檢測閑闕37〇的開閉狀 態,並將其結果送出至安全PLC3〇〇d。 14 201040680 檢測器S3為裝設於乾式幫浦贈356之塾 置’係用以檢測DRP356之電源的開閉,而在聰^ 未在特定的時間點動作時(電琢關閉),將警告訊 至安全PLC300d。 檢測器S4與檢測器S1同樣地為開/閉開關,係夢 由開關會因基板G的有無而_來檢測基板G是否^ 置於載置纟上’ iUf其結果㈣至安全pLC3_。
檢測器S5為真空計’係在藉由蓋部了來將A外周 固定之狀態下貫穿處理容器C的侧壁。檢測器%係測 定處理室内的真空壓力,並將其結果送出至安 PLC300d ° (製程模組PM4的内部結構) 接下來’針對製程模組PM4之6層連續有機证沉 積裝置的内部結構’參照圖3簡單地說明。製程模包 PM4中,係於基板G上連續地沉積6層(包含有機扯 層)。 製程模組PM4内内建有6個沉積源41〇a〜41〇f。6 個沉積源41〇a〜410f係藉由將收納有成膜材料而被收納 於各沉積源410之坩銷加熱至例如2〇〇〜5〇〇。匸左右的高 溫,來將各種成膜材料氣化。 ό個/儿積源410a〜41 Of係經由6個連結管42〇a~420f 而連結至6個喷出容器430a~43〇f。在6個沉積源 410a〜41〇f所氣化之各種成膜材料會分別通過6個連結 15 201040680 管420a〜420f ’而從6個喷出容器430a〜430f上面所設 置之開口 OP(喷出口)嘴出。 各喷出容器430之間設置有分隔壁44〇,藉由利用 該等7個分隔壁440來將各噴出容器430加以區隔,以 防止自鄰接之噴出容器430所喷出之各氣體分子相互 混合。 基板G係於製程模組pM4的頂面附近處被靜電吸 附於具有滑動機構之載置台(皆未圖示),而以第i喷出 态430a〜第6嘴出器430f的順序在各噴出容器 430a〜430f稍上方移動。藉此,在基板〇會因從自各喷 出容器430a〜430f所分別噴出之成膜材料而連續地層積 有6層不同的膜。 又,製程模組PM4亦與製程模組PM2同樣地裝設 有用以檢測製程模組PM4内部機器的狀態之檢測器群 PM4s,其檢測值係被送出至安全pLC3〇〇e,但此處省 略說明。 (PC的硬體結構) 簡單地說明上位PC100的硬體結構。又,下位 PC200的硬體結構係與上位pcl〇〇大致相同,因此此處 只針對上位PC100加以說明。上位pCl〇〇係具有 ROM、RAM、CPU、匯流排及界面部(皆未圖示)。R〇M 係記錄有於上位PC100所實行之基本程式、會在異常時 起動之程式或各種製程配方等。RAM係儲存有各種資 16 201040680 料等。又,ROM及RAM係記憶裝置的例子 為EEPROM、光碟、光磁氣碟等記憶裝置。亦= 出會依照各種製程配方(程式)來控制基板處=翰 匯流排係在ROM、RAM、CPU及界面部之=二 行資料的傳輸之通道。 °成崙間進 (安全PLC的功能) 〇 p接下來,針對安全PLC300的功能’參照圖4加以 說明。本實施形態中,除了硬體式連鎖裝置 以外,亦設置有將硬體(安全迴路)所建構之連鎖功能程 式化,並利用軟體來加以控制之經安全認證的安全 PLC300。 從上位PC100會輸出作為控制訊號之連續訊號,而 從安全PLC300會輸入/輸出作為DI(Digital Input)/DO(Digital Output)訊號之脈衝訊號。安全 j>LC3〇〇 ^ 會在已滿足被記憶於連鎖條件平台310之特定連鎖條 件後,輸出顯示異常之連鎖訊號。 如圖5所示’連鎖條件平台31〇係記憶有關連於各 機器而顯示連鎖條件之設定資訊。圖5中係設定有以下 5個條件來作為是否要將Apc r〇pEN」的動作停止之 連鎖條件。例如,「LidOpen(1.0)==ON」係表示記憶有 蓋體302的狀態之位址「丨」的第〇位元為〇N(亦即, 打開)。此時’安全PLC30〇會輸出顯示異常狀態之連鐵 訊號。蓋體302的狀態為「on(打開)」或「OFF(關閉)」 17 201040680 係藉由從圖2之檢測器S1所送出之輸出訊號而隨時更 新。 「GV Open(U)==〇N」係記憶有閘閥370的狀態 之位址「1」的第1位元為ON(亦即,打開)時,安全 PLC300會輸出顯示異常狀態之連鎖訊號。閘閥370的 狀態為「ON(打開)」或「OFF(關閉)」係藉由從圖2之 檢測器S2所送出之輸出訊號而隨時更新。 「DRP Alarm(2.1)==〇N」係記憶有乾式幫浦 DRP356之警報裝置的狀態之位址「2」的第1位元為 ON(亦即,發出警報)時,安全P]Lc3〇〇會輸出顯示異常 狀態之連鎖訊號。乾式幫浦DRP356之警報裝置的狀態 為「ON(打開)」或「OFF(關閉)」係藉由從圖2之檢測 器S3所送出之輸出訊號而隨時更新。 「Work Status(1.2)==〇N」係記憶有基板G之靜電 吸附的狀態之位址「1」的第2位元為〇N(已被消除電 力’亦即’基板G未被靜電吸附)時,安全plc300會 輸出顯示異常狀態之連鎖訊號。基板G的靜電吸附狀態 為「ON(打開)」或「〇FF(關閉)」係藉由從圖2之檢測 為S4所送出之輸出訊號而隨時更新。
Vacuum Sensor(10)<=i〇〇mT〇rr」係記憶有處理室 内的真空狀態之位址「10」的第16位元為l〇〇niTorr以 下%,安全PLC300會輸出顯示異常狀態之連鎖訊號。 處理室内的真空狀態是否為100mT〇rr以下係藉由從圖 2之檢測器S5所送出之輸出訊號而隨時更新。 18 201040680 苴如以上所說明的例子,安全pLC3〇〇會在滿足至少 二中1個預先没定的特定連鎖條件時,輸出顯示異常狀 心之連鎖訊號。亦可在未滿足特定的連鎖條件時,輸出 顯示正常狀態之連鎖訊號。 (連動/非連動控制) 如圖4所示,當製程模組PM設置有複數個同類機 〇 器(叢集1〜4)時,會有可選擇各機器相互之間為連動動 作或非連動動作的情況。此時,選擇為連動狀態之複數 個機器會依照控制訊號而連動並實行相同的動作(叢集 控制)。具體而言,控制訊號會從内建於叢集丨之主要 側微電腦MPU(Micro Processing Unit)被傳送至叢集2 的次要侧MPU,更進一步地控制訊號會從叢集2的次 要側]ViPU被傳送至叢集3的次要侧Mpu而可連動地進 行同一動作。另一方面,選擇為非連動狀態之機器仍維 〇 持現狀。亦即,控制訊號不會從叢集3的次要側Μρυ 被傳送至叢集4的次要侧MPU,抑或即使傳送了控制 訊號’叢集4仍不會對應於其而動作。其結果為,非連 動狀態的叢集4仍維持現狀。 複數個同類機器(叢集1〜4)的例子舉例有圖2所示 之APC1〜APC4。例如,如圖6之a所示,在通常運轉 時(例如’初期時),所有APC1〜APC4的閥體為全開狀 態。又’從安全PLC300未送出顯示異常之連鎖訊號(連 鎖訊號=NORMAL)。此時,如圖6之b所示,當從上位 19 201040680 PC100送出指示全閉之連續訊號(控制訊號)時,為連動 狀態之APC1〜APC3的MPU會對應於其而連動並使閥 體為全閉狀態。另一方面,為非連動狀態之APC4的 MPU則不會對應於其而是使該閥體仍維持在全開狀 態。如此地藉由設定為連動或非連動來使例如製程模組 PM3的後側(APC4側)充分地排氣,而前侧(apc1〜3側) 不會排氣等’則可對複數個同類機器進行非完全相同的 處理。 然而,當對應於控制訊號之上述連動/非連動功能 亦對應於連鎖訊號而同樣地發揮時,則會發生下述問 題。例如,當盍體302打開時,檢測器Si會檢測到其 而使得安全PLC300判斷為滿足特定的連鎖條件並送出 指示全閉之連鎖訊號(圖7之b:連鎖訊號=連鎖(關 閉))。當輸入有連鎖訊號時,連動之複數個APCi〜ApC3 的MPU會對應於從安全PLC3〇〇所輸出之連鎖訊號而 將閥體控制為全閉狀態,但選擇為非連動狀態之ApC4 的ΜΡγ則不會對應於連鎖訊號,而是將閥體仍維持在 全開狀態。藉此,即使是依照來自安全pLC3〇〇的指示 而浴人避免事故發生之緊急情況,仍會無法依照連鎖訊號 來強制地使非連動狀態之機器動作。如此地當連鎖功能 不健全時,則會妨礙到從安全觀點考量的迅速對應,而 有使系統陷於危險狀態之虞。又,藉此,當祕當機或 稼働狀^不穩定之狀態時’則會無法使處理室内維持 在所欲氣氛’抑或使得經基㈣理的結果物失去產品的 20 201040680 價值’而造成產能降低、系統生産性下降。又,對系統 管理者的負擔來說亦會增加。 因此,本實施形態中,如圖7之a所示,當安全 PIX300發出異常訊號時,不論為連動或非連動任一者 的狀態’均會依照連鎖訊號來使複數個同類機器同樣地 動作。藉此,即使是非連動之APC4亦會使閥體為全閉 狀態’並可依照安全PLC300的指示來確保從安全觀點 〇 考量的迅速對應、使系統的稼働狀況穩定,並提高產能 及生產性。 、又,過去,即使是在滿足特定連鎖條件之連鎖訊號 被輸出的期間(異常時),複數個同類機器仍會依照從控 制裝置所輪出之控制訊號而連動動作。例如,如圖8之 b所示,即使是在安全PLC300送出會在異常時指示全 閉之連鎖訊號的期間,當從上位PC100送出指示全開指 令之連續訊號(控制訊號)時,則APC1〜APC3之MPU會 對應於其而將閥體全部打開。因此,即使是異常時,由 力連鎖訊號的指令會被控制訊號的指令蓋過而使得其 一部份變得無效,故會使得安全管理變得不充分,而^ 使系統陷於危險狀態之虞。 因此,本實施形態中,如圖8之a所示,在安全 PLC300輸出已滿足特定連鎖條件之連鎖訊號的期間, APC1、〜APC4之MPU會使從上位ρα〇〇所輪出之控制 訊號為無效,並依照連鎖訊號的指示來維持動作。藉 此’可在顯示異常之連鎖㉟號被輸出的期間,使 201040680 APC1〜APC4之閥體維持在全閉狀態,並能確保安全 性、使系統的稼働狀況穩定’且提高產能及生產性。 又,以上所說明的APC1〜APC4之功能,實際上係 藉由分別内建於APC1〜APC4之MPU,從記憶有程式(該 程式係記載用以實現該等功能之處理步驟順序)之記憶 區域來讀取必要的程式,並解讀進而實行該程式而達 成。 (APC的動作)
接下來舉APC1〜APC4為例,並針對各apc之MPU 的動作參照圖9的流程圖來加以說明以上所說明之複 數個同類機器。圖9係顯示連續訊號/連鎖訊號處理之 流程圖。 (連績訊號/連鎖訊號處理) 本處理會在每經過特定時間時起動,係從步驟沾⑻ 開始。APC之主要側MPU會進入步驟S9〇s,並判定是 否=到連續峨。若有㈣連續訊號,則Mpu會進入 =秘S910’並判定連鎖訊號是否顯示正常。 當=訊號為normal時則進入步驟S915,Mpu會 判,疋否純擇為軸H Apc。當係為選擇為連動 之APC時’則會進人步驟S92Q並依照連續訊號的 心不而料’接著進入步驟S995並結束本處理。 另一方面,當於步驟S915係選擇為非連動狀態 22 201040680 J :則立刻進入步驟S995而結束本處理。藉此,在平 系日可,連動之APC會依照連續訊號而連動地實行同一 動作’而非連動之APC則不會錢__而維持現 狀。 然而,於步驟S9i〇,當連鎖訊號係輸出連鎖(異常) 時則會進入步驟S925,各APC之MPU不論APC1〜APC4 為$動或非連動狀態,均會依照連鎖訊號的指示而強制 地實行同一動作,之後進入步驟S995而結束本處理。 々此地 '在異常時,不論為連動或非連動狀態,由於係 、連鎖讯號而以安全動作為優先,故可預先防範事故 等於未然。又,於步驟S9〇5,當未收到連續訊號時則 不會進行任何處理,而係立刻進入步驟S995並結束本 處理。 (維修保養晝面)
卷例如’圖1的上位PC100或下位PC200等顯示器(相 。:顯示裝置)係顯示有圖10〜圖12所示之維修保養晝 圖係顯示在通常運轉中,進行連動動作時之維 修保袭查 思面。在圖10中,APC1〜APC4均為連動狀態’ 备閱,的開合度為全開(100%)。此係表示於步驟S915, 斷所有的APC為連動狀態時,於步驟S920會依照 買見銳的指示,而將所有APC的閥體全部打開(ι〇〇〇/0) 之情况。 圖11係顯示APC1、APC3、APC4為連動,而APC2 23 201040680 為非連動時之維修保養畫面。圖U中,相對於APCl、 APC3、APC4之各閥體的開合度皆為全開(1〇〇%),而 APC2之閥體的開合度為50%,故可知ApC2的動作未 與其他APC的動作連動。此係表示於步驟S915,當判 斷APC1、APC3、APC4為連動狀態時,於步驟S92〇 會依,日、《連續§fl號的指示,而將APCl、APC3、APC4的 闕體全部打開(100%)之情況。此時’ APC2的閥體係顯 示維持現狀(50%)之狀態。 圖12係顯示當連鎖訊號的指令為連鎖(關閉)時之 維修保養畫面。過去,即使是連鎖(異常)發生的情況, 仍係進行考慮了連動、非連動之控制。因此,如圖13 所示,當連鎖訊號的指令為連鎖(關閉)時,在習知的维 修保養畫財,選擇為非㈣之AW即使是在異常事 態的情況’仍不會依照連鎖訊號的指示, (50%) 〇 τ 比然而,在圖12中,APC1〜APC4之各闕體的開合度 :為全_%)。此係表示在步驟細中,當判斷連鎖 汛號非為NORMAL,而於步驟S925 ^ APC1〜APC4為連動或非連動狀態,均會依昭連= 員警「ALARM」,_騎事態向作業 如此地,本實施形態中,於維修保養書 已滿足特定連鎖條件之連鎖訊號被輸㈣期^複= 24 201040680 同類機器中’非連動狀態之機器亦會與連動狀態之機器 一起連動動作之狀態。藉此,可確認所有的叢集機器會 依照安全PLC300的控制而被連鎖控制。 (解除處理) 最後說明異常事態消除後之解除處理。當已滿足特 定連鎖條件之連鎖訊號被解除後(連鎖訊號 〇 "FORMAL),APC1 〜APC4 之 MPC 會使從上位 PC100 所輸出之連續訊號為有效,並依照連續訊號的指示而只 有選擇為連動狀態之APC會連動。此係藉由實行圖9 之步驟S915及步驟S920來達成。其結果為,於維修保 養晝面會表示如圖ίο或圖η所示之通常運轉時之連 動、非連動狀態。 如以上所說明地’根據本實施形態,當裝設於複數 個同類機器之檢測器檢測出異常,而該同類機器中任一 Ο 者判斷為已滿足所設定之連鎖條件後,會輸出顯示異常 之連鎖訊號。針對所輪出之連鎖訊號,不論複數個同類 機器為連動或非連動任—者之狀態,均會依照該連鎖訊 旎的指示而連動動作。藉此,即使是非連動之機器,所 有的複數個同類機器均會依照連鎖訊號的指示而強制 地只行動作。其結果為,可使連鎖功能反應在所有的機 态,並確保從安全觀點考量之迅速對應。藉此,可避免 系統當機、提高產能,並可減輕系統管理者的負擔。 又,藉此,便不需以管線將具有叢集構造之所有機 25 201040680 器連接至安全PLC300。亦即,本實施形態之訊號處理 不須改變現狀原本的各機器配置或連接關係,只要改變 軟體(程式)並直接利用現有基板處理系統的硬體結構即 可實現。因此,本發明較易適用於現有的系統且不須改 變管線,故較省導線。 (第2實施形態) 以上,在第1實施形態中,已針對於排氣機構係利 用APC閥時之連鎖控制加以說明。APC閥係具有關閉 閥功能之壓力控制閥,關閉閥與壓力控制閥係一體成 型。圖14係關閉閥與壓力控制閥為一體成型時(當為 APC閥時)之製程模組的概略圖。圖15係顯示關閉閥與 壓力控制閥為一體成型時(當為APC:閥時)的連鎖訊號 輸入例之圖式。圖16係顯示關閉閥與壓力控制閥為一 體成型時(當為APC閥時)的連鎖訊號輸入其他例之圖 式。 如圖14所示,壓力計705係隨時檢測反應室内的 壓力,並輸出壓力觀測値。反應室C(處理室)係根據壓 力觀測値,並對應於藉由流量控制器71〇所控制之氣體 流量,來控制APC閥的開合度以使其内部達到目標壓 力値。藉此可控制反應室C内的壓力。 當連鎖發生條件成立後,連鎖訊號(關閉)線可如圖 15所示,如同將念珠串在一起般地連接各Apc閥,亦 可如圖16所示般地分別連接於各apC閥。 26 201040680 第2實施形態之排氣機構中,如圖17所示,關閉 闕805與壓力控制閥810為分別的個體。圖17係關閉 閥與麼力控制閥為分別的個體時之製程模組的概略圖。 如此地,第2實施形態中,閥體係包含有關閉闕 膨及壓力控制閱⑽,且係設置於基板處理裝置之排 氣側處。又,各閥體係並聯地設置。相對於關閉閥⑽$, 壓力控制閥810係、具有連動模式與非連動模式。壓力控 〇 侧81G在判斷為已滿足特定的連鎖條件後,不論為連 動或非連動任-者的狀態,均會錢連舰號的指示而 連動動作。 圖18係關閉閥805與壓力控制闕81〇 4分別的個 體時之訊號輸入例。壓力計7〇5係隨時檢測反應室内的 壓力,並輸出壓力觀測値。第2實施形態中,亦會根據 壓力觀測値而進行使反應室c内達到目標壓力值之壓 力控制(調整壓力控制閥1的開合度)。此時,利用圖18 0 I® 19所示之主要㈣力控㈣1來決定壓力調整 U 値。次要側廢力控制閥2、3、4則係將壓力控制閥2、 3、4的開合度分別調整為壓力控制閥i所指示之開合 度。如此地,藉由依循壓力控制閥丄來對壓力控制閥2、 3、4進行壓力控制,可消除閥體間的開合度或控制之 偏差並抑制壓力的變動。藉此可將反應室c内穩定地控 制在期望壓力。 圖18係關閉閥805與壓力控制閥81〇為分別的個 體時之訊號輸入例。此時,連續訊號及壓力觀測値只會 27 201040680 至f =控制閥1。安全PLC會送出用以向關閉閥 _:r 分別 示關閉之連鎖訊:成㊁,’:向壓力控制閥送出用以指 照連鎖訊-㈣力控侧1會依 至次要側壓力控制閥2〜4闕關閉,並將連鎖訊號傳送 圖η係關閉閥805 控制閥關閉。 體時的訊號輸入其他例。制閥810為分別的個 亦只被傳送至壓力控制門^ ’連11訊號及壓力觀測値 則會被傳送至所有的二。】〜另;方面;動作指示訊號 送至所有的壓力控制閥^ °又’連鎖峨會被傳 關閉。連鎖訊號亦:二::別將壓力控心 閉閥1〜4關閉。 、、至關_ 1〜4,以分別將關 條件成立後’在將關 = 較佳係考慮到關4:: 制閥1〜4接下來的動作。然^ 处力技 行將關閉闕1〜4關閉之安全處理;可只進 邀力控侧Η _之安全處理來進行將 又壓力L·制閥810的功能有4個 全關閉(全閉)的狀態而不會動作的情況(^)捕元 持完全打開(全開)的狀態而不會動作的情況=維 ⑶控制開合度,而特定的開合度固定的情)非 28 201040680 、動)(4)控制壓力,自動地將閥體開閉以使壓力一定 根顏力計所見之壓力的情況(連動當連鎖 ^知’、不論壓力控侧〗〜4為連動/非連動之狀態, 的壓力控制閥1〜4均會依照連鎖訊號而關閉。 塵力控制閥81_4個功能可有各種組合,例如一 ‘刀維持全·態而其餘的部份則進行壓力控制的情 ^—部分控則合度而其餘的部份騎行壓力控制的 ^況、或-部分維持全閉狀態而其餘的部份則進行壓力 控制的情況等。此時,當—部分為進行全_,藉由不 將閘閥完全關閉而是將開合度控制為1%左右,則 止塵埃的滯留或密封部之黏死。 ,應至愈大則需要愈多的關閉閥及壓力控制闊。因 此洋細地控制何處需設置閘閥何處不需設置閑闕,則 可精確地控制反應室内的氣氛。 (大流量時) /例如’ ffl 20係顯示A流量時之運㈣。大流量時 係利用所設置之所有關閉閥805及動控制閥81〇來連 動地進㈣力控制。亦即,大流量時,所有的關閉闕 8〇5”為㈣狀態,並且壓力控制閥81〇會根據屋力計7〇5 的塵力觀缝,並藉由驢所有㈣力控㈣_的開 。度來進行壓力控制’以使反應室内達到壓力目標値。 連鎖舍生條件成立後’賴的連鎖訊號會被輸入至 主要側壓力控制闕810’來使主要側壓力控賴81〇的 29 201040680 開合度為全閉狀態,並從主要側壓力控制閥81〇將指示 全閉之訊號傳送至3個次要側壓力控制閥8丨〇,來使連 動之3個次要側壓力控制閥81〇的開合度為全閉狀態。 又,關閉之動作指示訊號會被輸入至所有的關閉閥 805/以使所有的關閉閥8〇5為全閉狀態。藉此,大流 量柃,在通常狀態下係將所有的關閉閥8〇5及壓力控制 閥810打開來對反應室進行壓力控制,而連鎖發生條件 成立後,則使所有的關閉閥805及壓力控制閥810為全 閉狀態並鮮j結束動作。又,連鎖發生時,連鎖訊號只 會被輸入至壓力控侧81〇,當連鎖訊絲被輸入至關 閉閥805時,則關閉閥8〇5會維持全開狀態。 (中流量時) 圖21係顯示中流量時之運用例,所設置之壓力控 制閥810中,一部分會連動地進行壓力控制,而其餘的 部份則會非連動地例如固定於1%之開合度。在通常動 作時,所有㈣_ 8〇5會錢動仙示訊·為打開 狀態。 此時,圖22之虛線區域N所示之壓力控制閥81〇 未與其以外的壓力控侧81G—起連動動作、然而,當 連鎖發生條件成立後,則必需使虛線區域N所示之壓力 控制閥810與其餘的壓力控顧起連動地來進 安全處理(關閉)。 因此,當連鎖發生條件成立後,如圖23所示,係 201040680 使壓力控制中之2個愿力控制_〇對應於關閉的連鎖 而為全閉狀態’而開合度固定在之非連動的2 個壓力控制閥81G亦依照主要侧壓力控制闊81()的指示 而為全閉狀態並強制結束動作。 …又’連鎖發生條件時’亦可使連鎖訊號不會被傳送 至麼力控㈣81G,而㈣請之連鎖減(動仙示訊號) 傳运至所有的關閉閥8〇5,以使所有的關閉^ 8〇5關 閉。但虽考慮到接下來的動作或從安全觀點考量時,則 如上所述,較佳地係使壓力控制閥81〇為全閉狀態,再 f所有的關_ ’料全閉狀態,而至少要強制地使 屋力控制閥810為全閉狀態即可。 (小流量時) 圖24係顯示小流量時之運用例,所設置之壓力控 制闊⑽中’係較_流量時要更少而只對⑽塵力控制 閥810進彳了壓力控制’而其餘的3㈣非連動地固定於 例如1%之開合度。在通常動作日夺,關閉閥8〇5會依昭 動作指示訊號而為打開狀態。 此,圖25之虚線輯N所示之壓力控制閥⑽ 不會與其料的壓力控_⑽—起連動動作。缺而, 小流量,亦須對連鎖發生條件成立後,將虛線區域n 所不之>1力控㈣8 i 〇進行安全處理(關閉卜 β因此,當連鎖發生條件成立後,如圖26所示,係 使厘力控制中之主要側壓力控制閥81〇對應於關閉的 31 201040680 連鎖訊號而為全閉狀態,而開合度固定在1%之非連動 的3個壓力控制閥810亦依照主要側壓力控制閥8丨〇的 指示而為全閉狀態並強制結束動作。 以上,已針對第2實施形態之關閉閥8〇5與壓力控 制閥810為分別的個體時之安全處理加以說明'。藉此, 在大流量時、中流量時、小流量時的情況,即使壓力控 制閥810的稼働條件不同,仍可在連鎖發生條件成立後 對所有的壓力控制閥810進行安全處理(關閉)。 又,g為體成型時(當為APC閥時)之大流量時、 中流量時、小流量時的安全處理基本上係與分別的個體 ,之安全處理相I例如,| APC _情況,於小流 量時,如圖27所示’ 2個Am及Apc2係連動地進行 壓力控制,而其餘之APC3及就4 _連動地固定於 例如全閉狀態。 小/力l量時如圖28所示,亦可使2個APC1及APC2 連動地進行歷力控制,而其餘的部份則非連動地固定於 例如1%之開合度。此時’如圖29的虛線區域N所示 之APC3及APC4不會與APC1及Apc2 —起連動動作。 然而,當連鎖發生條件成立後,仍必須對虛線區域N 所示之APC閥進行安全處理(關閉)。 因此’备連鎖發生條件成立後,不只是使壓力控制 中之主要侧壓力控㈣⑽對應於_的連鎖訊號而 為全閉狀態,而亦f使誕紅Ap(:3及Apc4亦為全 閉狀態並強制結束動作。 32 201040680 以上’各貫齡‘4之系統可在軟體式連 發出 異系訊號後,不論為連動或非連動之狀態,均可依昭連 鎖訊號來㈣複數個_機^ #此,可確實地進㈣ 全處理。 汉弟ζ貫施形態肀 第1貫施形態所示之APC闕,及第21
Ο 所示之關關與壓力控·為分別的個體之曜,皆為 閥體基板處理裝置所設置之具有_功能的難例。該 閥體可複數地設置於該基板處題置,該情況下,該闕 體可為亚聯地設置。又’該閥體亦可設置於該基板處理 裝置之排氣側。 又’第2實施形態時亦與第i實施形態同樣地,當 連鎖訊號發生中,不論是主要/次要,或連動/非連動之 狀態,來自連續訊號的指令會被忽略,且在系統的問題 解決前不會進行通常動作。 上述貫施形恶中,各部分的動作係彼此間相關連, 可考慮相互之間的關連性來對一連串的動作進行置 換,藉此,可使基板處理系統的實施形態作為利用該基 板處理系統所貫施之基板處理方法的實施形態。又,藉 由將上述基板處理系統的動作置換為用以實現基板處 理系統的功能所進行之處理,則可使基板處理系統的實 施形態成為記憶有程式(該程式係用以使電腦實行基板 處理系統的功能)之記憶媒體的實施形態。又,用以使 電腦實行基板處理系統功能的程式並非限定於記憶在 記憶媒體,而亦可透過網路等來發送。 33 201040680 以上,已参照添附圖式來加以說明本發明較佳實施 形態,但毋須贅言本發明並未限定於此。本發明所屬技 術中具通常知識者應當可在申請專利範圍所記載之範 疇内,思及各種變更例或修正例,且明暸該等當然亦屬 於本發明之技術範圍内。 例如,本發明之基板處理裝置所設置之複數個同類 機器不限於APC㈤’而亦可為具有叢減造之複數個 同類機器且可選擇為連動或非連動之機器。 又’本發明之電黎處理裝置亦可對大面積玻璃基板、 圓形石夕晶圓或方型SOI(Silicon 0n Insulat〇r)基板進行處 CMP(Chemical Mechanical Polishing :化學機 置、PVD(Physical Vapor Deposition :物理氣 裝置、曝光裝置、離子植入裝置等。 又,本發明之基板處理裝置除了蝕刻裝置、cVD 裝置等以外,亦有塗佈顯影裝置、洗淨裂置、 化學機械研磨)袭 物理氣相成長法)
34 201040680 FPD(Flat Panel Display)、太陽能電池製造裝置、有機 EL裝置等裝置》 & & 【圖式簡單說明】 圖1係本發明第1及第2實施形態之基板處理系統 的概略結構圖。 圖2係第1實施形態之製程模組pM3的縱剖面。 圖3係第1實施形態之製程模組pm4的立體圖。 圖4係用以說明第丨實施形態之連鎖訊號與複數個 叢集機器動作的關係之圖式。 圖5係顯示連鎖條件平台的一例之圖式。 圖6係用以說明第丨實施形態平常時的連鎖訊號與 複數個叢集機器動作的關係之圖式。 圖7係用以說明第1實施形態及過去異常時的連鎖 訊號與複數個叢集機器動作的關係之圖式。 圖8係用以說明第1實施形態及過去異常時的連鎖 訊號與複數個叢集機器動作的關係之圖式。 圖9係顯示第丨實施形態之連續訊號/連鎖訊號處 理的流程圖。 圖係第1實施形態之連動控制時的維修保養書 面0 圖11係第1實施形態之連動、非連動控制時的維 修保養畫面。 圖12係第1實施形態之連鎖發生時的維修保養書 35 201040680 面。 圖13係過去連鎖發生時的維修保養晝面。 圖14係第1實施形態之關閉閥與壓力控制閥為一 體成型後(當為APC閥時)之製程模組的概略圖。 圖15係顯示第1實施形態之關閉閥與壓力控制閥 為一體成型後(當為APC閥時)的訊號輸入例之圖式。 圖16係顯示第1實施形態之關閉閥與壓力控制閥 為一體成型後(當為APC閥時)的訊號輸入其他例之圖 式。 圖17係第2實施形態之關閉閥與壓力控制閥為分 別的個體時之製程模組的概略圖。 圖18係顯示第2實施形態之關閉閥與壓力控制閥 為分別的個體時的訊號輸入例之圖式。 圖19係顯示第2實施形態之關閉閥與壓力控制閥 為分別的個體時的訊號輸入其他例之圖式。 圖20係顯示第2實施形態大流量時的運用例之圖 式。 圖21係顯示第2實施形態中流量時的運用例之圖 式。 圖22係顯示第2實施形態中流量時的運用例之圖 式。 圖23係顯示第2實施形態中流量時的運用例之圖 式。 圖24係顯示第2實施形態小流量時的運用例之圖 36 201040680 式。 圖25係顯示第2實施形態小流量時的運用例之圖 式。 圖26係顯示第2實施形態小流量時的運用例之圖 式。 圖27係顯示第1 ·實施形態小流量時的運用例之圖 式。
圖28係顯示第1實施形態小流量時的運用例之圖 式。 圖29係顯示第1實施形態小流量時的運用例之圖 式。 【主要元件符號說明】 APC1-APC4自動壓力調整閥 C 處理容器
Cln 無塵室 G 基板 OP 開口 (喷出口) PM、PM1〜PM4 製程模組 S1〜S5檢測器 T 蓋部 TM 輸送模組 TMs、PMls〜PM4s 檢測器群 10 基板處理系統 37 201040680
100 上位PC 200a〜200e 下位 PC 300、 300a〜300e 安全 PLC 302 蓋體 304 0型環 306 載置台 308 供電部 310 連鎖條件平台 312 整合器 314 頻電源 318 高壓直流電源 320 硬體式連鎖裝置(PLC) 326 筒體 328 隔板 330 導波管 332 槽孔天線 334 介電體 336 微波源 342 梁柱 344 氣體導入管 346 氣體管 348 氣體供給源 356 乾式幫浦DRP 358 渦輪分子幫浦TMP 38 201040680 360 網際網路 370 閘閥 400 網際網路 410a〜41 Of 沉積源 420a〜420f 連結管 430a〜430f 喷出容器 440 分隔壁 500 LAN 600 主電腦 705 壓力計 710 流量控制器 805 關閉閥 810 壓力控制閥 Ο 39

Claims (1)

  1. ^W40680 +、申請專利範圍: 1 —種基板處理系統,係旦古柃 裝置的控制訊號之控制3 =以控制基板處理 條件時,輪出連鎖訊號:軟體足特定的連鎖 針對每二以Γ複數個同_,並 態,以#二2 動或非連動之其中一者狀 作;同類機器相互連動或非連動地動 器已===斷該複數個同類: 輸出至該複數個同 鎖訊的任-者輸人有= 任一者的㈣器不論為連動或#連動 動作。、心=會依照該連鎖訊號的指示而連動 2. 項之基板處理系統,其中在輸 數個同類機=7:/鎖訊細 ===第1項之基板—其具有顯 訊滿r特定的連鎖條件之連鎖 態的機器亦μ連器中,非連動狀 l與連動狀態的機器—起連動動作之 3. 201040680 狀態。 4. 如申請專利範圍第1項之基板處理系統,其中在已 滿足韻&的連鎖條件之連鎖訊號被解除後,該複 機器會使該控制裝置所輸出之控制訊號 二…效,並使依照該控制訊號的指示而選擇該連動 狀悲之機器連動動作。
    5. 如申π專利|&圍第4項之基板處理系統,其中在已 滿=該特定的軸條狀連鎖訊餘解除後,該顯 不裝置會顯示該複數個同類機器之連動或非連動 6. 7. 如申月專利範圍第1項之基板處理系統,其中該複 數個同類機n係設置於該基板處理裝置之複數個 自動壓力調整器。 如申响專则&圍第i項之基板處理系統,其中該複 數__11係料設置於妓減理裝置之關 閉閥及壓力控制鬧; 關閉閥及壓力控制閥之任_者不論為連動或 非連動狀態’在判斷為已滿足蚊的連鎖條件後, 均會依照該連鎖訊制指示而連動動作。 -種基板處理方法,係彻1基板處理系統之基 板處理方i錢板處理系統具有:輸出用以控制 基板處絲£的控伽號之控㈣置,及在滿足特 定的連,件時,輪出連鎖訊號之軟體式連4 置;其中 貝展 41 201040680 針對:板機 頁機器,並 "的狀
    軟體式連鎖裝置輪出連鎖訊號; t個同 ,從該 一當該複數個同類機器中的任—者輸入有該連 鎖讯唬後,不論為連動或非連動任—者的狀態,均 使該複數個同類機器依照該連鎖訊號的指示而連 動動作。 9. 一種記憶媒體,係記憶有使電腦實行一種基板處理 系統的功能之程式,該基板處理系統具有:輸出用 以控制基板處理裝置的控制訊號之控制裝置,及在 滿足特定的連鎖條件時,輸出連鎖訊號之軟體式連 鎖裝置;其中所記憶之程式係使電腦實行以下處 理: 於該基板處理裝置設置有複數個同類機器,並 針對母個機器選擇連動或非連動其中一者的狀 態’以使該複數個同類機器相互連動或非連動地動 作之處理; 藉由該軟體式連鎖裝置而在判斷為該複數個 同類機器係已滿足預先設定的特定連鎖條件後,從 該軟體式連鎖裝置輪出連鎖訊號之處理; 42 201040680 當該複數個同類機器中的任一者輸入有該連 鎖訊號後,不論為連動或非連動任一者的狀態,均 使該複數個同類機器依照該連鎖訊號的指示而連 動動作之處理。 10- —種閥體,係設置於基板處理裝置並具有關閉功 能;其中該閥體係具有連動模式及非連動模式,並 在判斷為已滿足特定的連鎖條件後,不論為連動或 非連動任一者的狀態,均會依照該連鎖訊號的指示 而連動動作。 11. 如申請專利範圍第10項之閥體,其中該閥體係複 數地設置於該基板處理裝置。 12. 如申請專利範圍第11項之閥體,其中該閥體係並 聯地設置。 13. 如申請專利範圍第10項之閥體,其中該閥體係設 置於該基板處理裝置之排氣側。
TW098142713A 2008-12-15 2009-12-14 System for processing of substrate, method of processing of substrate, and storage medium that stores program TW201040680A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008318541 2008-12-15

Publications (1)

Publication Number Publication Date
TW201040680A true TW201040680A (en) 2010-11-16

Family

ID=42268771

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098142713A TW201040680A (en) 2008-12-15 2009-12-14 System for processing of substrate, method of processing of substrate, and storage medium that stores program

Country Status (6)

Country Link
US (1) US20110264250A1 (zh)
JP (1) JP5008768B2 (zh)
KR (1) KR20110084318A (zh)
CN (1) CN102246268A (zh)
TW (1) TW201040680A (zh)
WO (1) WO2010071101A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI706498B (zh) * 2019-01-23 2020-10-01 大陸商北京北方華創微電子裝備有限公司 半導體加工設備和半導體製程中的工件檢測方法

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2700081B1 (en) 2011-04-22 2022-11-02 ASML Netherlands B.V. Network architecture for lithography machine cluster
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103050421A (zh) * 2011-10-17 2013-04-17 中芯国际集成电路制造(上海)有限公司 刻蚀控制方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101254598B1 (ko) * 2012-08-23 2013-04-15 주식회사 유디엠텍 Smⅴ를 이용한 plc 제어 프로그램의 인터록 기능 검증방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6310260B2 (ja) * 2014-01-20 2018-04-11 株式会社荏原製作所 基板処理装置内の複数の処理ユニットを調整するための調整装置、および該調整装置を備えた基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104195529B (zh) * 2014-09-28 2016-09-14 上海先进半导体制造股份有限公司 Lpcvd炉管及其主阀联锁装置电路
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104690406A (zh) * 2015-01-11 2015-06-10 沈阳汇能机器人自动化有限公司 机器人焊接安全系统
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107533359B (zh) * 2015-05-20 2019-04-23 三菱电机株式会社 信息处理装置和联锁控制方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
GB2551517B (en) * 2016-06-20 2020-06-03 Jaguar Land Rover Ltd Software interlock
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR101963856B1 (ko) * 2018-01-03 2019-07-31 (주)유시스템 반도체 제조 공정용 적어도 하나의 가스 챔버의 복수의 밸브 제어 방법 및 시스템
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN110376932B (zh) * 2018-04-13 2021-05-07 沈阳中科博微科技股份有限公司 一种高诊断覆盖率的功能安全开关量输出模块
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6618628B1 (en) * 2000-10-05 2003-09-09 Karl A. Davlin Distributed input/output control systems and methods
US6500263B2 (en) * 2001-03-26 2002-12-31 Applied Materials, Inc, Semiconductor substrate processing chamber having interchangeable lids actuating plural gas interlock levels
JP4709423B2 (ja) * 2001-05-16 2011-06-22 アプライド マテリアルズ インコーポレイテッド チャンバ冷却装置および半導体製造装置
JP4571350B2 (ja) * 2001-09-12 2010-10-27 東京エレクトロン株式会社 インターロック機構,インターロック方法および熱処理装置
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6739840B2 (en) * 2002-05-22 2004-05-25 Applied Materials Inc Speed control of variable speed pump
US7723649B2 (en) * 2002-08-05 2010-05-25 Steed Technology, Inc. Vacuum thermal annealer
JP4101166B2 (ja) * 2003-12-11 2008-06-18 大日本スクリーン製造株式会社 基板処理装置
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4252935B2 (ja) * 2004-06-22 2009-04-08 東京エレクトロン株式会社 基板処理装置
US7854821B2 (en) * 2005-06-02 2010-12-21 Tokyo Electron Limited Substrate processing apparatus
US7387083B2 (en) * 2005-12-06 2008-06-17 Life Science Control Corporation Precision watering method and apparatus
JP4643469B2 (ja) * 2006-02-24 2011-03-02 東京エレクトロン株式会社 インターロック制御装置
US7634320B2 (en) * 2006-02-24 2009-12-15 Tokyo Electron Limited Interlock control apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI706498B (zh) * 2019-01-23 2020-10-01 大陸商北京北方華創微電子裝備有限公司 半導體加工設備和半導體製程中的工件檢測方法

Also Published As

Publication number Publication date
KR20110084318A (ko) 2011-07-21
JPWO2010071101A1 (ja) 2012-05-31
CN102246268A (zh) 2011-11-16
JP5008768B2 (ja) 2012-08-22
WO2010071101A1 (ja) 2010-06-24
US20110264250A1 (en) 2011-10-27

Similar Documents

Publication Publication Date Title
TW201040680A (en) System for processing of substrate, method of processing of substrate, and storage medium that stores program
JP7084898B2 (ja) 処理装置、装置管理コントローラ、及びプログラム並びに半導体装置の製造方法
US7266418B2 (en) Substrate processing apparatus, history information recording method, history information recording program, and history information recording system
JP4555406B2 (ja) タンデム型処理室
US8972036B2 (en) Method of controlling substrate processing apparatus, maintenance method of substrate processing apparatus and transfer method performed in substrate processing apparatus
US6896737B1 (en) Gas delivery device for improved deposition of dielectric material
JP2008305793A (ja) 遠隔プラズマ源
JP2009094530A (ja) 超高スループット・ウェハ真空処理システム
WO2006104018A1 (ja) 基板処理装置及び基板処理システム
WO2007115080A1 (en) Monitoring a single-wafer processing system
JP4882239B2 (ja) 半導体製造装置、コンピュータプログラム及び記憶媒体
WO2007115136A1 (en) Monitoring a monolayer deposition (mld) system using a built-in self test (bist) table
US7526699B2 (en) Method for creating a built-in self test (BIST) table for monitoring a monolayer deposition (MLD) system
JP5424628B2 (ja) 真空処理装置
US20220081770A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, substrate processing method, and recording medium
JP4606947B2 (ja) リークレート測定方法並びにリークレート測定に用いるプログラムおよび記憶媒体
JP4571350B2 (ja) インターロック機構,インターロック方法および熱処理装置
JPH02125421A (ja) 熱処理装置
JP6990297B2 (ja) 部品の診断方法、半導体装置の製造方法、基板処理装置、及びプログラム
WO2020059070A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US6500263B2 (en) Semiconductor substrate processing chamber having interchangeable lids actuating plural gas interlock levels
US20210242039A1 (en) Substrate processing system and method to reduce a number of external connectors provided on the system
JP2008158769A (ja) 基板処理システム、制御装置、設定情報監視方法および設定情報監視プログラムを記憶した記憶媒体
JP4900903B2 (ja) 基板処理装置、基板処理装置のパラメータ管理システム、基板処理装置のパラメータ管理方法、プログラム、及び記憶媒体
WO2024057590A1 (ja) 排気構造、排気システム、処理装置及び半導体装置の製造方法