JPWO2010071101A1 - 基板処理システム、基板処理方法およびプログラムを記憶した記憶媒体 - Google Patents

基板処理システム、基板処理方法およびプログラムを記憶した記憶媒体 Download PDF

Info

Publication number
JPWO2010071101A1
JPWO2010071101A1 JP2010542955A JP2010542955A JPWO2010071101A1 JP WO2010071101 A1 JPWO2010071101 A1 JP WO2010071101A1 JP 2010542955 A JP2010542955 A JP 2010542955A JP 2010542955 A JP2010542955 A JP 2010542955A JP WO2010071101 A1 JPWO2010071101 A1 JP WO2010071101A1
Authority
JP
Japan
Prior art keywords
interlock
substrate processing
devices
signal
same type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010542955A
Other languages
English (en)
Other versions
JP5008768B2 (ja
Inventor
優 西村
優 西村
高野 博之
博之 高野
総一 西島
総一 西島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010542955A priority Critical patent/JP5008768B2/ja
Publication of JPWO2010071101A1 publication Critical patent/JPWO2010071101A1/ja
Application granted granted Critical
Publication of JP5008768B2 publication Critical patent/JP5008768B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/05Programmable logic controllers, e.g. simulating logic interconnections of signals according to ladder diagrams or function charts
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/32Address formation of the next instruction, e.g. by incrementing the instruction counter

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Safety Devices In Control Systems (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】インターロック信号に基づき複数の同種の機器をクラスタ制御する。【解決手段】基板処理システム10は、PMを制御するためのシリアル信号を出力する上位PC100及び所定のインターロック条件を満たす場合、インターロック信号を出力する安全PLC300を有している。基板処理システム10のPMには、同種の複数のAPCバルブが設けられ、複数のAPCバルブは互いに連動して又は非連動に動作するように、機器毎に連動又は非連動のいずれかの状態が選択される。安全PLC300は、所与のインターロック条件を満たされたと判断した場合、異常を示すインターロック信号を出力する。複数のAPCバルブのいずれかがインターロック信号を入力した場合、すべてのAPCバルブは、連動又は非連動のいずれかにかかわらず、インターロック信号の指示に従い連動して動作する。【選択図】図4

Description

本発明は、インターロック装置を備えた基板処理システム、基板処理方法および基板処理システムの機能を実行するためのプログラムを記憶した記憶媒体に関する。
近年、半導体製造工場では、複数の基板処理装置がクラスタ型に配設されている基板処理システムが構築されている。各基板処理装置には、ネットワークを介して制御装置が接続されている。制御装置は、レシピに従い所定のタイミングに基板処理装置に制御信号を出力する。基板処理装置は、制御信号にしたがって、たとえば、各種バルブの開閉、ポンプやAPC(自動圧力調整:Automatic Pressure Control)バルブの弁体の開度などを駆動し、これにより、基板にエッチングや成膜等の所望の処理が施される。
基板処理装置が異常状態である場合、制御信号に従い基板処理装置内の機器を動作させても、基板処理装置内を所望の雰囲気に保持できなくなったり、基板に所望の処理が施せなかったり、搬送中の基板が機器に衝突する等の事故が起こりえる。そこで、従来から、インターロック装置を用いて機器の誤動作を防止する仕組みが考えられている。インターロック装置は、基板処理装置内の各機器の状態を検知するセンサからの信号を入力し、入力した信号が所与のインターロック条件を満たす場合、異常事態と判断して機器の誤動作を回避するためのインターロック信号を出力する。該当機器は、インターロック信号の指示に従い動作を停止する。
上記機能を有するインターロック装置のうち、ハードインターロック装置は、インターロック条件を回路(ハードウエア)により構築するため、回路設計時の負担が大きい。特に、近年、工場内の基板処理システムが多様化および複雑化していることに伴い、設計時の負担はより増大し、設計後のインターロック回路の変更や追加も困難になっている。
そこで、回路にて構築されていたインターロック条件をプログラム(ソフトウエア)化して制御することが可能なソフトインターロック装置が開発されている(たとえば、特許文献1を参照。)。ソフトインターロック装置のうち、安全PLC(Programmable Logic Controller)は、安全認証されたソフトインターロック装置である。
特開平5−120006号公報
しかしながら、基板処理装置に同種の複数の機器が設けられているとき、各機器は互いに連動して動作するか又は非連動に動作するかを選択可能な場合がある。この場合、連動と選択された複数の機器は、制御信号に従って連動して同じ動作を実行する(クラスタ制御)。一方、非連動と選択された機器は、制御信号が発信されてもこれに応じず、現状の状態を維持する。
たとえば、ポンプに接続されたAPCバルブが同一基板処理装置に複数配設されている場合について考える。すべてのAPCバルブの弁体が全閉である状態に対して、基板処理装置の奥側は充分に排気したいが、手前側は排気したくない場合、オペレータは奥側のAPCバルブを連動の状態に設定し、手前側のAPCバルブを非連動の状態に設定する。この状態でAPCバルブの弁体を全開にする制御信号が出力されると、連動状態にある奥側のAPCバルブの弁体は、これに応じて全開の状態となる。これに対して、非連動状態にある手前側のAPCバルブの弁体は、全閉の状態を維持する。このように、連動又は非連動を選択しておくことにより、複数の同種の機器に一律でない動作を実行させることができる。
制御信号に対するクラスタ制御は、インターロック信号に対しても同様に行われる。つまり、連動と選択された複数の機器は、ソフトインターロック装置から出力されたインターロック信号に応じてクラスタ制御されるが、非連動と選択された機器は、インターロック信号に応じず、現状を維持する。これでは、ソフトインターロック装置からの指示に従って事故を回避したい緊急時であっても、非連動の機器をインターロック信号に基づき強制的に動作させることができないことになる。このようにインターロック機能が不十分であると、安全面からの迅速な対応が妨げられ、システムが危険な状態になるおそれがある。たとえば、システムがダウンしたり、稼働状況が不安定な状態になると、基板処理装置内を所望の雰囲気に保てなくなり、基板処理された結果物が製品としての価値をなさなくなり、スループットを低下させ、システムの生産性を下げてしまう。また、システム管理者の負担も大きくなる。
そこで、本発明では、ソフトインターロック装置が異常を発信した場合、連動又は非連動にかかわらず、インターロック信号に基づき同種の機器をクラスタ制御する基板処理システム、基板処理方法および基板処理システムの機能を実行するためのプログラムを記憶した記憶媒体を提供する。
上記課題を解決するために、本発明のある観点によれば、基板処理装置を制御するための制御信号を出力する制御装置と、所定のインターロック条件を満たす場合、インターロック信号を出力するソフトインターロック装置と、を備える基板処理システムが提供される。基板処理システムでは、前記基板処理装置には同種の複数の機器が設けられ、前記同種の複数の機器は互いに連動して又は非連動に動作するように、機器毎に連動又は非連動のいずれかの状態が選択される。前記ソフトインターロック装置は、前記同種の複数の機器が予め定められた所定のインターロック条件を満たしたと判断した場合、前記同種の複数の機器のいずれかにインターロック信号を出力する。前記同種の複数の機器のいずれかが前記インターロック信号を入力した場合、前記同種の複数の機器は、連動又は非連動のいずれかにかかわらず、前記インターロック信号の指示に従い連動して動作する。
これによれば、同種の複数の機器に取り付けられたセンサが異常を検出し、複数の同種の機器が所与のインターロック条件を満たしたと判断された場合、インターロック信号が出力される。このとき、同種の複数の機器は、連動又は非連動のいずれに設定されているかにかかわらず、インターロック信号の指示に従い動作する。これによれば、同種の機器のいずれかが非連動の状態であっても、すべての機器に強制的にインターロック信号の指示に応じた動作を実行することができる。この結果、インターロックの指示がすべての機器に反映されるため、安全面からの迅速な対応を確保することができる。これにより、システムダウンを回避し、稼働状況を安定させ、スループットの向上及びシステムの生産性の向上を図ることができる。また、システム管理者のメンテナンスの負担も軽減できる。
前記所定のインターロック条件を満たしたインターロック信号が出力されている間、前記同種の複数の機器は、前記制御装置から出力された制御信号を無効にし、前記インターロック信号の指示に従った連動動作を維持してもよい。
前記所定のインターロック条件を満たしたインターロック信号が出力されている間、前記同種の複数の機器のうち、非連動の状態の機器も連動の状態の機器と連動して動作している状態を表示する表示装置を備えてもよい。
前記所定のインターロック条件を満たしたインターロック信号が解除された場合、前記同種の複数の機器は、前記制御装置から出力された制御信号を有効にし、前記制御信号の指示に従って前記連動の状態が選択されている機器を連動して動作させてもよい。
前記表示装置は、前記所定のインターロック条件を満たしたインターロック信号が解除された場合、前記同種の複数の機器の連動又は非連動の状態を表示してもよい。
前記同種の複数の機器の一例としては、前記基板処理装置に配設された複数のAPCバルブが挙げられる。
前記同種の複数の機器の他の例としては、前記基板処理装置に別体に配設されたシャットオフバルブ及び圧力制御バルブが挙げられる。この場合、シャットオフバルブ及び圧力制御バルブの少なくともいずれかは、連動又は非連動のいずれかにかかわらず、所定のインターロック条件を満たしたと判断された場合、前記インターロック信号の指示に従い連動して動作してもよい。
また、上記課題を解決するために、本発明の他の観点によれば、基板処理装置を制御するための制御信号を出力する制御装置と、所定のインターロック条件を満たす場合、インターロック信号を出力するソフトインターロック装置と、を備える基板処理システムを用いた基板処理方法であって、前記基板処理装置には同種の複数の機器が設けられ、前記同種の複数の機器が互いに連動して又は非連動に動作するように、機器毎に連動又は非連動のいずれかの状態を選択し、前記ソフトインターロック装置により前記同種の複数の機器が予め定められた所定のインターロック条件を満たしたと判断された場合、前記ソフトインターロック装置からインターロック信号を出力し、前記同種の複数の機器のいずれかが前記インターロック信号を入力した場合、連動又は非連動のいずれかにかかわらず、前記同種の複数の機器を前記インターロック信号の指示に従い連動して動作させる基板処理方法が提供される。
また、上記課題を解決するために、本発明の他の観点によれば、基板処理装置を制御するための制御信号を出力する制御装置と、所定のインターロック条件を満たす場合、インターロック信号を出力するソフトインターロック装置と、を備える基板処理システムの機能をコンピュータに実行させるためのプログラムを記憶した記憶媒体であって、前記基板処理装置には同種の複数の機器が設けられ、前記同種の複数の機器が互いに連動して又は非連動に動作するように、機器毎に連動又は非連動のいずれかの状態を選択する処理と、前記ソフトインターロック装置により前記同種の複数の機器が予め定められた所定のインターロック条件を満たしたと判断された場合、前記ソフトインターロック装置からインターロック信号をする処理と、前記同種の複数の機器のいずれかが前記インターロック信号を入力した場合、連動又は非連動のいずれかにかかわらず、前記同種の複数の機器を前記インターロック信号の指示に従い連動して動作させる処理と、をコンピュータに実行させるプログラムを記憶した記憶媒体が提供される。
上記課題を解決するために、本発明の別の観点によれば、基板処理装置に設けられるシャットオフ機能を備えたバルブであって、前記バルブは、連動モードと非連動モードとを有し、所定のインターロック条件を満たしたと判断された場合、連動又は非連動のいずれかにかかわらず、前記インターロック信号の指示に従い連動して動作するバルブが提供される。
前記バルブは、前記基板処理装置に複数設けられていてもよい。
前記バルブは、並列に配置されていてもよい。
前記バルブは、前記基板処理装置の排気側に設けられていてもよい。
以上説明したように、本発明によれば、ソフトインターロック装置が異常を発信した場合、連動又は非連動にかかわらずインターロック信号に基づき同種の複数の機器を制御することができる。
本発明の第1及び第2実施形態にかかる基板処理システムの概略構成図である。 第1実施形態にかかるプロセスモジュールPM3の縦断面である。 第1実施形態にかかるプロセスモジュールPM4の斜視図である。 第1実施形態にかかるインターロック信号と複数のクラスタ機器の動作との関係を説明するための図である。 インターロック条件テーブルの一例を示した図である。 第1実施形態の通常時のインターロック信号と複数のクラスタ機器の動作との関係を説明するための図である。 第1実施形態及び従来の異常時のインターロック信号と複数のクラスタ機器の動作との関係を説明するための図である。 第1実施形態及び従来の異常時のインターロック信号と複数のクラスタ機器の動作との関係を説明するための図である。 第1実施形態にかかるシリアル信号/インターロック信号処理を示したフローチャートである。 第1実施形態にかかる連動制御中のメンテナンス画面である。 第1実施形態にかかる連動、非連動制御中のメンテナンス画面である。 第1実施形態にかかるインターロック発生中のメンテナンス画面である。 従来のインターロック発生中のメンテナンス画面である。 第1実施形態にかかるシャットオフバルブと圧力制御バルブとが一体化した場合(APCバルブの場合)のプロセスモジュールの模式図である。 第1実施形態にかかるシャットオフバルブと圧力制御バルブとが一体化した場合(APCバルブの場合)の信号入力の一例を示した図である。 第1実施形態にかかるシャットオフバルブと圧力制御バルブとが一体化した場合(APCバルブの場合)の信号入力の他の例を示した図である。 第2実施形態にかかるシャットオフバルブと圧力制御バルブとが別体の場合のプロセスモジュールの模式図である。 第2実施形態にかかるシャットオフバルブと圧力制御バルブとが別体の場合の信号入力の一例を示した図である。 第2実施形態にかかるシャットオフバルブと圧力制御バルブとが別体の場合の信号入力の他の例を示した図である。 第2実施形態の場合の大流量時の運用例を示した図である。 第2実施形態の場合の中流量時の運用例を示した図である。 第2実施形態の場合の中流量時の運用例を示した図である。 第2実施形態の場合の中流量時の運用例を示した図である。 第2実施形態の場合の小流量時の運用例を示した図である。 第2実施形態の場合の小流量時の運用例を示した図である。 第2実施形態の場合の小流量時の運用例を示した図である。 第1実施形態の場合の小流量時の運用例を示した図である。 第1実施形態の場合の小流量時の運用例を示した図である。 第1実施形態の場合の小流量時の運用例を示した図である。
以下に添付図面を参照しながら、本発明の好適な実施形態について詳細に説明する。なお、以下の説明及び添付図面において、同一の構成及び機能を有する構成要素については、同一符号を付することにより、重複説明を省略する。
(第1実施形態)
まず、本発明の第1実施形態に係る基板処理システムについて、図1を参照しながら説明する。図1は、第1実施形態に係る基板処理システムの概略構成図である。
[基板処理システム]
基板処理システム10は、上位PC(Personal Computer)100、下位PC200a〜200e、安全PLC(Programmable Logic Controller)300a〜300e、トランスファモジュールTM(Transfer Module)、プロセスモジュールPM(Process Module)1〜PM4を有している。各機器は、たとえば、Ethernet(登録商標)等のネットワーク400によりそれぞれ接続されている。また、上位PC100は、LAN(Local Area Network)500を経由してホストコンピュータ600に接続されている。
下位PC200a〜200eは、クリーンルームCln内であって、トランスファモジュールTM、プロセスモジュールPM1〜PM4の近傍にそれぞれ配置されている。上位PC100は、クリーンルームClnの外部に配置されている。上位PC100は、下位PC200a〜200eとの間で制御信号を送受信することにより、トランスファモジュールTMおよびプロセスモジュールPM1〜PM4をそれぞれ遠隔制御する。具体的には、上位PC100は、トランスファモジュールTMにて基板を搬送するための制御信号を送出し、プロセスモジュールPM1〜PM4にて基板を微細加工するための制御信号を送出する。
各プロセスモジュールPMにて実行される基板処理の一例としては、プロセスモジュールPM1で実行されるスパッタリング処理、プロセスモジュールPM2で実行されるエッチング処理、プロセスモジュールPM3で実行されるCVD(Chemical Vapor Deposition:化学蒸着薄膜成膜法)成膜処理、プロセスモジュールPM4で実行される6層連続有機EL膜蒸着処理が挙げられる。プロセスモジュールPM及びトランスファモジュールTMの数や配置位置はこれに限られず、自由に設計することができる。なお、トランスファモジュールTMおよびプロセスモジュールPM1〜PM4は、基板を処理する基板処理装置の一例である。上位PC100は、基板処理装置を制御するための制御信号を出力する制御装置の一例である。上位PC100と下位PC200a〜200eを併せて制御装置としてもよい。
トランスファモジュールTM、プロセスモジュールPM1〜PM4には、各モジュールに装着された機器の状態を検知するセンサ群TMs、PM1s〜PM4sがそれぞれ取り付けられている。センサ群TMs、PM1s〜PM4sの検出値は、安全PLC300a〜300eにそれぞれ入力されるようになっている。安全PLC300は、ハードインターロック装置においてハードウエア(安全回路)にて構築されていたインターロックの機能をプログラム化し、ソフトウエアにて制御することが可能な安全認証されたソフトインターロック装置に相当する。
安全PLC300は、センサ群の検出信号を入力し、センサ群の検出信号が所与のインターロック条件を満たす場合、異常状態を知らせるインターロック信号を出力する。これにより、トランスファモジュールTM、プロセスモジュールPM1〜PM4内の該当機器の駆動を一時的に停止する。この結果、たとえば、誤ったガスを供給することや基板が機器に衝突するなどの危険性を回避してトランスファモジュールTMやプロセスモジュールPM内部の機器を保護するとともに工場内の作業員のメンテナンスを容易にすることができる。ホストコンピュータ600は、上位PC100とデータを送受信することにより、データ管理など基板処理システム10全体を管理する。
つぎに、プロセスモジュールPM1〜PM4の内部構成の一例として、CVD処理を実行するプロセスモジュールPM3および6層連続有機EL蒸着膜処理を実行するプロセスモジュールPM4の内部構成について、図2及び図3を参照しながら説明する。図2はプロセスモジュールPM3に設置されたマイクロ波プラズマ処理装置(CVD装置)の縦断面図を模式的に示した図であり、図3はプロセスモジュールPM4に設置された6層連続有機EL蒸着装置の要部斜視図を模式的に示した図である。
[プロセスモジュールPM3の内部構成]
プロセスモジュールPM3のマイクロ波プラズマ処理装置は、天井面が開口した有底立方体形状の処理容器Cを有している。処理容器Cの天井面には、蓋体302が取り付けられている。処理容器Cと蓋体302との接面にはOリング304が設けられ、これにより処理室内の気密が保持されている。処理容器Cおよび蓋体302は、たとえば、アルミニウム等の金属からなり、電気的に接地されている。
処理容器Cには、その内部にてガラス基板(以下「基板」という)Gを載置するためのサセプタ306が設けられている。サセプタ306は、たとえば窒化アルミニウムからなり、その内部には給電部308が設けられている。給電部308には、整合器312を介して高周波電源314が接続されている。高周波電源314は接地されている。給電部308は、高周波電源314から出力された高周波電力により処理容器Cの内部に所定のバイアス電圧を印加するようになっている。サセプタ306は、筒体326に支持されている。サセプタ306の周囲には、処理室のガスの流れを好ましい状態に制御するためのバッフル板328が設けられている。
蓋体302には、6本の導波管330、スロットアンテナ332および複数枚の誘電体334が設けられている。各導波管330は、その断面形状が矩形状であり、蓋体302の内部にて平行に並んで設けられている。
スロットアンテナ332は、蓋体302の下方にて蓋体302と一体的に形成されている。スロットアンテナ332は、アルミニウムなどの非磁性体である金属から形成されている。スロットアンテナ332には、各導波管330の下面にてスロット(開口)が空けられている。各導波管内及び各スロット内には、フッ素樹脂、アルミナ(Al)、石英などの誘電部材が充填されている。
かかる構成により、マイクロ波源336から出力されたマイクロ波は、各導波管330を伝播してスロットアンテナ332のスロットに通され、各誘電体334を透過して処理容器Cの内部に入射される。
スロットアンテナ332の下面では、複数の誘電体334が梁342に支持されている。梁342は、アルミニウムなどの非磁性体にて形成されている。梁342には、ガス導入管344が貫通している。ガス導入管344には、ガスライン346を介してガス供給源348が接続されている。ガスは、ガス供給源348から供給され、ガスライン346を介してガス導入管344から処理容器内に導入される。
本実施形態では、APCバルブが同一基板処理装置に4つ配設されている。APCバルブ1、APCバルブ2、APCバルブ3、APCバルブ4(以下、単にAPC1、APC2、APC3、APC4と述べる)は、弁体の開度を調節することにより処理室内部の圧力を自動調節する。ドライポンプDRP(Dry Pump)356は、各APCを介して処理室内部を粗引きし、ターボモレキュラポンプTMP358は、処理室内部を真空引きする。これにより、処理室内部は所定の真空度に保持される。
APC1、APC2、APC3、APC4のうち隣接する機器同士は、Ethernet(登録商標)等のネットワーク360により接続されている。APC1は、下位PC200dを介して上位PC100に接続されたマスタ側の自動圧力調整器である。APC2、APC3、APC4は、マスタ側のAPC1に連鎖して接続されたスレーブ側の自動圧力調整器である。APC1、APC2、APC3、APC4は、オペレータによりそれぞれ「連動」又は「非連動」のいずれかに設定される。ゲートバルブ370は、処理室内の気密を保ちながら、基板Gを搬入、搬出するための開閉口である。
かかる構成により、上位PC100から送信された制御信号は、下位PC200dを介して各機器に送られる。たとえば、マイクロ波源336、高周波電源314、高圧直流電源318、ガス供給源348のバルブやマスフローコントローラ(いずれも図示せず)、APC1、APC2、APC3、APC4、ドライポンプDRP356、ターボモレキュラポンプTMP358、ゲートバルブ370などは、制御信号に従い所定のタイミングに駆動する。この結果、処理容器内を所望の真空度に保ちながら、処理容器内部に供給されたガスが、処理容器内に導入されたマイクロ波の電界エネルギーによりプラズマ化され、生成されたプラズマの作用により基板Gに成膜処理が施される。
[センサ群]
プロセスモジュールPM3には、プロセスモジュールPM3の内部機器の状態を検知するセンサ群PM3sとして、各種センサS1〜S5が取り付けられていて、その検出値(出力信号)は、安全PLC300dに送出されるようになっている。
具体的には、センサS1はオン/オフスイッチである。センサS1のスイッチは、蓋体302が閉じている場合、蓋体302からの押力により投入され(スイッチオン)、蓋体302が開いている場合、蓋体302の押力から開放されることにより切断される(スイッチオフ)。このようにして、センサS1は、処理容器Cの天井面の開閉状態を検出し、その結果を安全PLC300dに送出する。
センサS2は、ゲートバルブ370に組み込まれた開口度センサであり、ゲートバルブ370の開口度を検出することによりゲートバルブ370の開閉の状態を検知し、その結果を安全PLC300dに送出する。
センサS3は、ドライポンプDRP356に取り付けられたアラーム装置であり、DRP356の電源のオン/オフを検出し、所定のタイミングにDRP356が動作していない場合(電源オフ)、アラームを安全PLC300dに出力する。
センサS4は、センサS1と同様にオン/オフスイッチであり、基板Gの有無によりスイッチをオン/オフすることによって基板Gがステージ上に置かれているか否かを検知し、その結果を安全PLC300dに送出する。
センサS5は、真空ゲージであり、蓋部Tによりその外周を固定された状態で処理容器Cの側壁を貫通しながら装着されている。センサS5は、処理室内の真空圧を測定し、その値を安全PLC300dに送出する。
[プロセスモジュールPM4の内部構成]
つぎに、プロセスモジュールPM4の6層連続有機EL蒸着装置の内部構成について、図3を参照しながら簡単に説明する。プロセスモジュールPM4では、基板G上に有機EL層を含む6層が連続的に蒸着される。
プロセスモジュールPM4内には、6つの蒸着源410a〜410fが内蔵されている。6つの蒸着源410a〜410fには、異なる種類の成膜材料が納められていて、各蒸着源410に納められたるつぼを、たとえば、200〜500℃程度の高温にすることにより、各種成膜材料を気化させるようになっている。
6つの蒸着源410a〜410fには、6つの連結管420a〜420fを介して、6つの吹き出し容器430a〜430fが連結されている。6つの蒸着源410a〜410fにて気化された各種成膜材料は、6つの連結管420a〜420fをそれぞれ通過して、6つの吹き出し容器430a〜430fの上面に設けられた開口OP(吹き出し口)から吹き出される。
各吹き出し容器430の間には隔壁440が設けられていて、これら7つの隔壁440のよって各吹き出し容器430を仕切ることにより、隣接する吹き出し容器430から吹き出される各気体分子が混ざり合うことを防ぐ。
基板Gは、プロセスモジュールPM4の天井面近傍にて、スライド機構を備えたステージ(ともに図示せず)に静電吸着していて、各吹き出し容器430a〜430fのわずかに上方を、第1の吹き出し器430a〜第6の吹き出し器430fの順に移動する。これにより、基板Gには、各吹き出し容器430a〜430fからそれぞれ吹き出される成膜材料によって、異なる6層の膜が連続的に積層される。
なお、プロセスモジュールPM4にも、プロセスモジュールPM2と同様に、プロセスモジュールPM4の内部機器の状態を検知するセンサ群PM4sが取り付けられていて、その検出値は、安全PLC300eに送出されるようになっているが、ここでは説明を省略する。
[PCのハードウエア構成]
上位PC100のハードウエア構成について簡単に説明する。なお、下位PC200のハードウエア構成は上位PC100とほぼ同じであるためここでは上位PC100のみについて説明する。上位PC100は、図示しないROM、RAM、CPU、バス及びインタフェースを有している。ROMには、上位PC100にて実行される基本的なプログラムや、異常時に起動するプログラム、各種レシピ等が記録されている。RAMには、各種データ等が蓄積されている。なお、ROMおよびRAMは、記憶装置の一例であり、たとえば、EEPROM、光ディスク、光磁気ディスクなどの記憶装置であってもよい。CPUは、各種レシピ(プログラム)にしたがって基板の処理を制御する信号を出力する。バスは、ROM、RAM、CPUおよびインタフェースの各機器間でデータをやりとりする経路である。
[安全PLCの機能]
つぎに、安全PLC300の機能について、図4を参照しながら説明する。本実施形態では、ハードインターロック装置(PLC320)の他に、ハードウエア(安全回路)にて構築されていたインターロックの機能をプログラム化し、ソフトウエアにて制御する、安全認証された安全PLC300が設けられている。
上位PC100からは、制御信号としてシリアル信号が出力される。安全PLC300からは、DI(Digital Input)/DO(Digital Output)信号としてパルス信号が入出力される。安全PLC300は、インターロック条件テーブル310に記憶された所定のインターロック条件が満たされた場合、異常を示すインターロック信号を出力する。
図5に示したように、インターロック条件テーブル310には、インターロック条件を示した設定情報が各機器に関連付けられて記憶されている。図5には、APCを「OPEN」する動作を停止するか否かのインターロック条件として、つぎの5条件が設定されている。たとえば、「Lid Open(1.0)==ON」は、蓋体302の状態が記憶されたアドレス「1」の0ビット目がON(すなわち、開口)していることを示す。この場合、安全PLC300は異常状態を示すインターロック信号を出力する。蓋体302の状態が、「ON(開口)」しているか「OFF(閉口)」しているかは、図2のセンサS1から送出された出力信号により随時更新される。
「GV Open(1.1)==ON」は、ゲートバルブ370の状態が記憶されたアドレス「1」の1ビット目がON(すなわち、開口)している場合、安全PLC300は異常状態を示すインターロック信号を出力する。ゲートバルブ370の状態が、ON(開口)かOFF(閉口)かは、図2のセンサS2から送出された出力信号により随時更新される。
「DRP Alarm(2.1)==ON」は、ドライポンプDRP356の警報装置の状態が記憶されたアドレス「2」の1ビット目がONしている(すなわち、アラームが出ている)場合、安全PLC300は異常状態を示すインターロック信号を出力する。ドライポンプDRP356の警報装置の状態が、ON(アラーム出ている)かOFF(アラーム出ていない)かは、図2のセンサS3から送出された出力信号により随時更新される。
「Work Status(1.2)==ON」は、基板Gの静電吸着の状態が記憶されたアドレス「1」の2ビット目がONしている(除電されている、すなわち、基板Gが静電吸着されていない)場合、安全PLC300は異常状態を示すインターロック信号を出力する。基板Gの静電吸着の状態が、ON(除電されている)かOFF(静電吸着されている)かは、図2のセンサS4から送出された出力信号により随時更新される。
「Vacuum Sensor<=100mTorr」は、処理室内の真空状態が記憶されたアドレス「10」の16ビットが100mTorr以下であれば、安全PLC300は異常状態を示すインターロック信号を出力する。処理室内の真空状態が、100mTorr以下か否かは、図2のセンサS5から送出された出力信号により随時更新される。
以上に一例を説明したように、安全PLC300は、予め定められた所定のインターロック条件の少なくともいずれか1つを満たしている場合、異常状態を示すインターロック信号を出力する。所定のインターロック条件を満たしていない場合には、正常状態を示すインターロック信号が出力されていてもよい。
[連動/非連動制御]
図4に示したように、プロセスモジュールPMに同種の複数の機器(クラスタ1〜4)が設けられているとき、各機器は互いに連動して動作するか又は非連動に動作するかを選択可能な場合がある。この場合、連動と選択された複数の機器は、制御信号に従って連動して同じ動作を実行する(クラスタ制御)。具体的には、クラスタ1に内蔵されたマスタ側マイクロコンピュータMPU(Micro Processing Unit)からクラスタ2のスレーブ側のMPUに制御信号が伝達され、さらに、クラスタ2のスレーブ側のMPUからクラスタ3のスレーブ側のMPUに制御信号が伝達されて連動した同一動作が可能になる。一方、非連動と選択された機器は、現状の状態を維持する。つまり、クラスタ3のスレーブ側のMPUからクラスタ4のスレーブ側のMPUには制御信号が伝達されない又は制御信号が伝達されてもそれに応じない。この結果、非連動のクラスタ4は、現状の状態を維持する。
複数の同種の機器(クラスタ1〜4)の一例としては、図2に示したAPC1〜APC4が挙げられる。たとえば、図6のaに示したように、通常運転時(たとえば、初期時)、すべてのAPC1〜APC4の弁体が全開であるとする。また、安全PLC300から異常を示すインターロック信号は送出されていない(インターロック信号=ノーマル)。このとき、図6のbに示したように上位PC100から全閉を指示するシリアル信号(制御信号)が送信されると、連動状態にあるAPC1〜APC3のMPUは、これに応じて連動して弁体を全閉にする。一方、非連動状態にあるAPC4のMPUは、これに応じず、その弁体を全開のまま維持する。このようにして連動又は非連動の設定により、たとえば、プロセスモジュールPM3の奥側(APC4側)は充分に排気し、手前側(APC1〜3側)は排気しない等、同種の複数の機器が一律でない処理を行うことができる。
しかしながら、制御信号に対する上記連動/非連動の機能が、インターロック信号に対しても同様に発揮されるとすると次のような不具合が生じる。たとえば、蓋体302が開いている場合、センサS1がこれを検知し、安全PLC300は、所定のインターロック条件を満たすと判断して全閉を示すインターロック信号を送出する(図7のb:インターロック信号=インターロック(クローズ))。インターロック信号を入力すると、連動する複数のAPC1〜APC3のMPUは、安全PLC300から出力されたインターロック信号に応じて弁体を全閉に制御するが、非連動と選択されたAPC4のMPUは、インターロック信号に応じず、弁体を全開のまま維持する。これによれば、安全PLC300からの指示に従って事故を回避したい緊急時であっても、非連動の機器をインターロック信号に基づき強制的に動作させることができない。このようにインターロック機能が不十分であると、安全面からの迅速な対応が妨げられ、システムが危険な状態になるおそれがある。また、これにより、システムがダウンしたり、稼働状況が不安定な状態になると、処理室内を所望の雰囲気に保てなくなり、基板処理された結果物が製品としての価値をなさなくなり、スループットを低下させ、システムの生産性を下げてしまう。また、システム管理者の負担も大きくなる。
そこで、本実施形態では、図7のaに示したように、安全PLC300が異常を発信した場合、連動又は非連動にかかわらず、インターロック信号に基づき同種の複数の機器を同様に動作させる。これにより、非連動のAPC4も弁体を全閉にすることができ、安全PLC300の指示による安全面からの迅速な対応が確保され、システムの稼働状況が安定し、スループット及び生産性を向上させることができる。
また、従来は、所定のインターロック条件を満たしたインターロック信号が出力されている間(異常時)であっても、同種の複数の機器は、制御装置から出力された制御信号に従い連動して動作していた。たとえば、図8のbに示したように、安全PLC300が、異常時に全閉を示すインターロック信号を送出している間でも、上位PC100から全開指令を示したシリアル信号(制御信号)が送出されると、これに応じてAPC1〜APC3のMPUは連動して弁体を全開する。これによれば、異常時であってもインターロック信号の指令が制御信号の指令で上書きされてその一部が無効になるため、安全管理が十分でなくなり、システムが危険な状態になるおそれがある。
そこで、本実施形態では、図8のaに示したように、安全PLC300から所定のインターロック条件を満たしたインターロック信号が出力されている間、APC1〜APC4のMPUは、上位PC100から出力された制御信号を無効にし、インターロック信号の指示に従った動作を維持する。これにより、異常を示すインターロック信号が出力されている間、APC1〜APC4の弁体を全閉に維持することができ、安全が担保され、システムの稼働状況が安定し、スループット及び生産性を向上させることができる。
なお、以上に説明したAPC1〜APC4の機能は、実際には、APC1〜APC4にそれぞれ内蔵されたMPUが、これらの機能を実現する処理手順を記述したプログラムを記憶した記憶領域から必要なプログラムを読み出し、そのプログラムを解釈して実行することにより達成される。
[APCの動作]
つぎに、以上に説明した複数の同種の機器としてAPC1〜APC4を例に挙げながら、各APCのMPUの動作について、図9のフローチャートを参照しながら説明する。図9は、シリアル信号/インターロック信号処理を示したフローチャートである。
[シリアル信号/インターロック信号処理]
本処理は、所定時間経過毎に起動され、ステップS900から開始される。APCのマスタ側のMPUは、ステップS905に進んで、シリアル信号を受信したかを判定する。受信している場合、MPUは、ステップS910に進み、インターロック信号が正常を示しているか(ノーマル)を判定する。インターロック信号がノーマルな場合、ステップS915に進んで、MPUは、連動を選択されたAPCであるかを判定する。連動が選択されている場合、ステップS920に進んでシリアル信号の指示に従い動作し、ステップS995に進み本処理を終了する。
一方、ステップS915にて非連動が選択されている場合、直ちにステップS995に進み本処理を終了する。これにより、通常時には、連動するAPCはシリアル信号に基づき同一動作を連動して実行し、非連動のAPCはシリアル信号に拘わらず現状を維持する。
しかしながら、S910にてインターロック信号がインターロック(異常)を出力した場合、ステップS925に進み、各APCのMPUは、APC1〜APC4の連動、非連動の状態に拘わらず、インターロック信号の指示に従い強制的に同一の動作を実行し、その後、ステップS995に進み本処理を終了する。このようにして、異常時には、連動又は非連動に拘わらず、インターロック信号に基づき安全動作が優先されるため、事故等を未然に防ぐことができる。なお、ステップS905にてシリアル信号を受信していない場合には、何も処理することなく、ステップS995に進んで直ちに本処理を終了する。
[メンテナンス画面]
たとえば、図1の上位PC100や下位PC200等のディスプレイ(表示装置に相当)には、図10〜図12に示したメンテナンス画面が表示される。図10は、通常運転中、連動動作している場合のメンテナンス画面を示している。図10では、APC1〜APC4のすべてが連動し、各弁体の開度は全開(100%)となっている。これは、ステップS915にてすべてのAPCが連動していると判断された場合、ステップS920にてシリアル信号の指示に従い、すべてのAPCの弁体を全開(100%)とした場合等に表示される。
図11は、APC1,APC3,APC4が連動し、APC2が非連動の場合のメンテナンス画面を示している。図11では、APC1,APC3,APC4の各弁体の開度はすべて全開(100%)となっているのに対して、APC2の弁体の開度は50%となっており、APC2の動作は他のAPCの動作に連動していないことが分かる。これは、ステップS915にてAPC1,APC3,APC4が連動していると判断された場合、ステップS920にてシリアル信号の指示に従い、APC1,APC3,APC4の弁体を全開(100%)とした場合等に表示される。この場合、APC2の弁体は現状を維持(50%)した状態を表示する。
図12は、インターロック信号がインターロック(クローズ)を指令した場合のメンテナンス画面を示している。従来、インターロック(異常)が発生した場合でも、連動、非連動を考慮した制御が行われていた。このため、図13に示したように、インターロック信号がインターロック(クローズ)を指令した場合の従来のメンテナンス画面では、非連動を選択したAPC2は、異常事態であるにもかかわらず、インターロック信号の指示に従わず、現状を維持(50%)していた。
しかしながら、図12では、APC1〜APC4の各弁体の開度はすべて全閉(0%)となっている。これは、ステップS910にてインターロック信号がノーマルでないと判断され、ステップS925にてAPC1〜APC4の連動、非連動にかかわらず、インターロック信号の指示に従い、APC1〜APC4の弁体をすべて全閉(0%)とした場合等に表示される。また、ステータスは、「アラーム」を示し、異常事態であることをオペレータに警告している。
このように、本実施形態では、メンテナンス画面には、所定のインターロック条件を満たしたインターロック信号が出力されている間、同種の複数の機器のうち、非連動の状態の機器も連動の状態の機器と連動して動作している状態が表示される。これにより、すべてのクラスタ機器が、安全PLC300の制御に基づきインターロック制御されていることを確認することができる。
[解除処理]
最後に、異常事態が解消された後の解除処理について説明する。所定のインターロック条件を満たしたインターロック信号が解除された場合(インターロック信号=ノーマル)、APC1〜APC4のMPCは、上位PC100から出力されたシリアル信号を有効にし、シリアル信号の指示に従って連動の状態が選択されているAPCのみ連動して動作する。これは、図9のステップS915及びステップS920を実行することにより達成される。この結果、メンテナンス画面には、図10や図11に示したような通常運転時の連動、非連動の状態が表示される。
以上に説明したように、本実施形態によれば、同種の複数の機器に取り付けられたセンサが異常を検出し、その同種の機器のいずれかが所与のインターロック条件を満たしたと判断された場合、異常を示すインターロック信号が出力される。出力されたインターロック信号に対して、同種の複数の機器は、連動又は非連動のいずれにかかわらず、前記インターロック信号の指示に従い連動して動作する。これにより、非連動の機器があっても、同種の複数の機器のすべてが、強制的にインターロック信号の指示に応じた動作を実行する。この結果、すべての機器にインターロックの機能が反映され、安全面からの迅速な対応を確保することができる。これにより、システムダウンを回避してスループットを向上させることができるとともに、システム管理者の負担を軽減することができる。
また、これによれば、クラスタ構造を有するすべて機器を安全PLC300にケーブルで接続する必要がない。つまり、本実施形態の信号処理は、現状の各機器の配置や接続関係を変更することなく、既存の基板処理システムのハードウエア構成をそのまま利用して、ソフトウエア(プログラム)を変更するだけで実現できる。このため、既存システムへの適用が容易であり、ケーブルの変更が不必要で省配線になる。
(第2実施形態)
以上、第1実施形態では排気手段にAPCバルブを用いた場合のインターロック制御について説明した。APCバルブはシャットオフバルブ機能付き圧力制御バルブであり、シャットオフバルブと圧力制御バルブとが一体化している。図14は、シャットオフバルブと圧力制御バルブとが一体化した場合(APCバルブの場合)のプロセスモジュールの模式図である。図15は、シャットオフバルブと圧力制御バルブとが一体化した場合(APCバルブの場合)のインターロック信号の入力の一例を示した図である。図16は、シャットオフバルブと圧力制御バルブとが一体化した場合(APCバルブの場合)のインターロック信号の入力の他の例を示した図である。
図14に示したように、圧力計705は、随時チャンバ内の圧力を検出し、圧力モニター値を出力する。チャンバC(処理室)は、圧力モニター値に基づき、フローコントローラ710により制御されるガス流量に応じてその内部がターゲット圧力値になるようにAPCバルブの開度を制御する。これによりチャンバC内を圧力制御することができる。
インターロック発生条件が成立したときには、インターロック信号(クローズ)線は、図15に示したようにそれぞれのAPCバルブに数珠繋ぎとなるように接続されてもよく、図16に示したようにそれぞれのAPCバルブにそれぞれ接続されてもよい。
第2実施形態の排気手段では、図17に示したように、シャットオフバルブ805と圧力制御バルブ810とが別体になっている。図17は、シャットオフバルブと圧力制御バルブとが別体の場合のプロセスモジュールの模式図である。
このように、第2実施形態では、弁体はシャットオフバルブ805及び圧力制御バルブ810を含み、基板処理装置の排気側に配置されている。また、各弁体は並列に配置されている。圧力制御バルブ810は、シャットオフバルブ805に対して連動モードと非連動モードとを有している。圧力制御バルブ810は、所定のインターロック条件を満たしたと判断された場合、連動又は非連動のいずれかにかかわらず、インターロック信号の指示に従い連動して動作する。
図18では、シャットオフバルブ805と圧力制御バルブ810とが別体の場合の信号入力の一例を示す。圧力計705は、随時チャンバ内の圧力を検出し、圧力モニター値を出力する。第2実施形態の場合にも圧力モニター値に基づき、チャンバC内がターゲット圧力値になるように圧力制御(圧力制御バルブ1の開度調整)が行われる。この場合、図18及び図19にて示したマスタ側の圧力制御バルブ1で圧力の調整値が決定される。スレーブ側の圧力制御バルブ2、3、4は、圧力制御バルブ1から指示された開度に圧力制御バルブ2,3,4の開度調整をそれぞれ行う。このようにして、圧力制御バルブ1に追従して圧力制御バルブ2、3、4を圧力制御することにより、バルブ間の開度や制御のずれがなく圧力の発振を抑えることができる。これによりチャンバC内を所望の圧力に安定して制御できる。
図18は、シャットオフバルブ805と圧力制御バルブ810とが別体の場合の信号入力の一例を示す。この場合、シリアル信号及び圧力モニター値は、圧力制御バルブ1にのみ送られる。安全PLCは、シャットオフバルブ1〜4にオープン又はクローズを指示するための動作指示信号を送出する。シャットオフバルブ1〜4は、動作指示信号に基づきそれぞれ開閉(オープン又はクローズ)する。
インターロック発生条件が成立したときには、圧力制御バルブにクローズを指示するためのインターロック信号を送出する。図18では、インターロック信号は、マスタ側の圧力制御バルブ1のみに入力される。この場合、マスタ側の圧力制御バルブ1は、インターロック信号に基づき圧力制御バルブ1をクローズするとともに、インターロック信号をスレーブ側の圧力制御バルブ2〜4に転送して圧力制御バルブ2〜4をクローズさせる。
図19は、シャットオフバルブ805と圧力制御バルブ810とが別体の場合の信号入力の他の例を示す。この場合にも、シリアル信号及び圧力モニター値は、圧力制御バルブ1にのみ送られる。一方、動作指示信号はすべてのシャットオフバルブ1〜4に送られる。また、インターロック信号は、すべての圧力制御バルブ1〜4に送られ、圧力制御バルブ1〜4をそれぞれクローズさせる。インターロック信号は、シャットオフバルブ1〜4にも送られ、シャットオフバルブ1〜4をそれぞれクローズさせる。
インターロック発生条件が成立したときには、シャットオフバルブ1〜4と圧力制御バルブ1〜4との両方を閉める方がシャットオフバルブ1〜4及び圧力制御バルブ1〜4の次の動作時を考慮すると好ましい。しかし、インターロック発生時、シャットオフバルブ1〜4をクローズするだけの安全処理で対応してもよいし、圧力制御バルブ1〜4をクローズするだけの安全処理で対応してもよい。
なお、圧力制御バルブ810の機能としては、(1)フルクローズ(全閉)の状態のまま動かない場合(非連動)、(2)フルオープン(全開)の状態のまま動かない場合(非連動)、(3)開度制御し、ある一定の開度で固定する場合(非連動)、(4)圧力制御し、圧力計を見て圧力を一定に保つように自動でバルブの開閉をする場合(連動)の4つのパターンがある。インターロック発生時には、圧力制御バルブ1〜4の連動/非連動にかかわらず、全ての圧力制御バルブ1〜4をインターロック信号に従いクローズする。
圧力制御バルブ810の4つの機能は、たとえば、一部フルオープンにして残りを圧力制御する場合、一部開度制御で残りを圧力制御する場合、一部フルクローズにして残りを圧力制御する場合等いろいろな組み合わせが考えられる。ここで、一部フルクローズの場合、完全にバルブをクローズせずに1%程度に開度制御することにより、ごみの滞留やシール部の固着を防止することが可能となる。
チャンバが大きくなっていくと、多数のシャットオフバルブ及び圧力制御バルブが必要になる。よって、バルブのどこを使ってどこを使わないようにするかを細かく制御すれば、チャンバ内の雰囲気を精度よく制御できる。
(大流量時)
たとえば、大流量時の運用例を図20に示す。大流量時には、設置されているすべてのシャットオフバルブ805及び圧力制御バルブ810を用いて連動して圧力制御する。すなわち、大流量時には、シャットオフバルブ805はすべてオープンしていて、圧力制御バルブ810は圧力計705の圧力モニター値に基づき、チャンバ内が圧力ターゲット値になるようにすべての圧力制御バルブ810の開度を調整することにより圧力制御を行う。
インターロック発生条件が成立したときには、クローズのインターロック信号がマスタ側の圧力制御バルブ810に入力され、マスタ側の圧力制御バルブ810の開度を全閉させるとともに、マスタ側の圧力制御バルブ810から3つのスレーブ側の圧力制御バルブ810に全閉を指示する信号を送ることにより、連動する3つのスレーブ側の圧力制御バルブ810の開度を全閉させる。また、クローズの動作指示信号がすべてのシャットオフバルブ805に入力され、すべてのシャットオフバルブ805を閉状態にさせる。これにより、大流量時には、通常状態では、すべてのシャットオフバルブ805及び圧力制御バルブ810をオープンにしてチャンバを圧力制御し、インターロック発生条件が成立したときにはすべてのシャットオフバルブ805及び圧力制御バルブ810を全閉状態にして動作を強制終了する。なお、インターロック発生時、圧力制御バルブ810のみにインターロック信号が入力され、シャットオフバルブ805にインターロック信号が入力されない場合にはシャットオフバルブ805は開状態のままとなる。
(中流量時)
中流量時の運用例としては図21に示したように、設置されている圧力制御バルブ810のうち、一部は連動して圧力制御し、残りは非連動にしてたとえば1%の開度に固定する。通常動作時には、動作指示信号に従い全てのシャットオフバルブ805が開状態になっている。
この場合、図22の破線領域Nにて示した圧力制御バルブ810は、それ以外の圧力制御バルブ810と連動して動作していない。しかしながら、インターロック発生条件が成立したときには破線領域Nにて示した圧力制御バルブ810も残りの圧力制御バルブ810に連動して安全処理(クローズ)する必要がある。
そこで、インターロック発生条件が成立したときには、図23に示したように、圧力制御中の2つの圧力制御バルブ810を、クローズのインターロック信号に応じて全閉状態にし、開度を1%に固定した非連動の2つの圧力制御バルブ810もマスタ側の圧力制御バルブ810の指示に従い強制的に全閉状態にして動作を強制終了する。
なお、インターロック発生条件時、圧力制御バルブ810にインターロック信号を送らずに、クローズのインターロック信号(動作指示信号)を全シャットオフバルブ805に送り、全シャットオフバルブ805をクローズさせるようにしてもよい。ただし、次動作や安全面を考慮すると、前述したように圧力制御バルブ810を全閉状態にし、更に全シャットオフバルブ805もクローズさせるほうが好ましいし、少なくとも圧力制御バルブ810だけは強制的に全閉状態にしたほうがよい。
(小流量時)
小流量時の運用例としては図24に示したように、設置されている圧力制御バルブ810のうち、中流量時よりさらに少ない1つの圧力制御バルブ810のみを圧力制御し、残りの3台は非連動にしてたとえば1%の開度に固定する。通常動作時には、動作指示信号に従いシャットオフバルブ805は開状態になっている。
この場合、図25の破線領域Nにて示した圧力制御バルブ810は、それ以外の圧力制御バルブ810と連動して動作していない。しかしながら、小流量時にもインターロック発生条件が成立したときには破線領域Nにて示した圧力制御バルブ810を安全処理(クローズ)する必要がある。
そこで、インターロック発生条件が成立したときには、図26に示したように、圧力制御中のマスタ側の圧力制御バルブ810を、クローズのインターロック信号に応じて全閉状態にし、開度を1%に固定した非連動の3つの圧力制御バルブ810もマスタ側の圧力制御バルブ810の指示に従い強制的に全閉状態にして動作を強制終了する。
以上、第2実施形態に係るシャットオフバルブ805と圧力制御バルブ810とが別体の場合の安全処理について説明した。これによれば、大流量時、中流量時、小流量時の場合に圧力制御バルブ810に稼働条件の違いがあってもインターロック発生条件が成立したときにはすべての圧力制御バルブ810を安全処理(クローズ)することができる。
なお、一体の場合(APCバルブの場合)の大流量時、中流量時、小流量時の安全処理は別体の場合の安全処理と基本的に同じである。たとえば、APCバルブの場合、小流量時、図27に示したように、2つのAPC1及びAPC2は連動して圧力制御し、残りのAPC3及びAPC4は非連動にしてたとえば全閉状態に固定する。
小流量時、図28に示したように、2つのAPC1及びAPC2は連動して圧力制御し、残りは非連動にしてたとえば1%の開度で固定するようにしてもよい。この場合、図29の破線領域Nにて示したAPC3及びAPC4は、APC1及びAPC2と連動して動作していない。しかしながら、インターロック発生条件が成立したときには破線領域Nにて示したAPCバルブを安全処理(クローズ)する必要がある。
そこで、インターロック発生条件が成立したときには、連動するAPC1及びAPC2をクローズのインターロック信号に応じて全閉状態にするだけでなく、非連動のAPC3及びAPC4も全閉状態にして動作を強制終了する。
以上、各実施形態に係るシステムよれば、ソフトインターロック装置が異常を発信した場合、連動又は非連動にかかわらずインターロック信号に基づき同種の複数の機器を制御することができる。これにより、安全処理を滞りなく行うことができる。
第1実施形態にて示したAPCバルブも、第2実施形態にて示したシャットオフバルブと圧力制御バルブとが別体となったバルブも、バルブ基板処理装置に設けられるシャットオフ機能を備えたバルブの一例である。前記バルブは、前記基板処理装置に複数設けられていてもよく、この場合、前記バルブは、並列に配置されていてもよい。また、前記バルブは、前記基板処理装置の排気側に設けられていてもよい。
なお、第2実施形態の場合にも、第1実施形態と同様に、インターロック信号発生中はマスター/スレーブ、連動/非連動の状態に関係なく、シリアル通信からの指令は無視され、システムの不具合が解消されるまで通常動作は行われないようになっている。
上記実施形態において、各部の動作はお互いに関連しており、互いの関連を考慮しながら、一連の動作として置き換えることができ、これにより、基板処理システムの実施形態を、当該基板処理システムを用いた基板処理方法の実施形態とすることができる。また、上記基板処理システムの動作を、基板処理システムの機能を実現するための処理と置き換えることにより、基板処理システムの実施形態を、基板処理システムの機能をコンピュータに実行させるためのプログラムを記憶した記憶媒体の実施形態とすることができる。なお、基板処理システムの機能をコンピュータに実行させるためのプログラムは、記憶媒体に記憶されているだけでなく、ネットワーク等を通じて配信されるようにしてもよい。
以上、添付図面を参照しながら本発明の好適な実施形態について説明したが、本発明は係る例に限定されないことは言うまでもない。当業者であれば、請求の範囲に記載された範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。
たとえば、本発明にかかる基板処理装置に設けられる同種の複数の機器は、APCバルブに限られず、クラスタ構造を有する複数の同種の機器であって連動又は非連動を選択可能である機器であればよい。
また、本発明にかかるプラズマ処理装置は、大面積のガラス基板、円形のシリコンウエハや角型のSOI(Silicon On Insulator)基板を処理することもできる。
また、本発明にかかる基板処理装置としては、エッチング装置、CVD装置等の他に、コータデベロッパ、洗浄装置、CMP(Chemical Mechanical Polishing:化学的機械的研磨)装置、PVD(Physical Vapor Deposition:物理気相成長法)装置、露光装置、イオンインプランタなどがある。
上記実施形態では、便宜上、4つのAPCバルブ、又は4つのシャットオフバルブと4つの圧力制御バルブとを用いて大流量時、中流量時、小流量時の場合について説明したが、APCバルブの数、シャットオフバルブの数、圧力制御バルブの数は4つに限られず、チャンバの大きさによって適宜定められる。また、圧力制御バルブの制御方法も一例であり、チャンバの大きさによって圧力制御バルブの位置と制御方法を変えることができる。
本発明に係る基板処理システムは、半導体製造装置、FPD(Flat Panel Display)、太陽電池製造装置、有機EL装置等の装置に適用可能である。
10 基板処理システム
100 上位PC
200 下位PC
300 安全PLC
302 蓋体
310 インターロック条件テーブル
354 APCバルブ
356 ドライポンプDRP
358 ターボモレキュラポンプTMP
370 ゲートバルブ
400 ネットワーク
500 LAN
600 ホストコンピュータ
705 圧力計
710 フローコントローラ
805 シャットオフバルブ
810 圧力制御バルブ

Claims (13)

  1. 基板処理装置を制御するための制御信号を出力する制御装置と、所定のインターロック条件を満たす場合、インターロック信号を出力するソフトインターロック装置と、を備える基板処理システムであって、
    前記基板処理装置には同種の複数の機器が設けられ、前記同種の複数の機器は互いに連動して又は非連動に動作するように、機器毎に連動又は非連動のいずれかの状態が選択され、
    前記ソフトインターロック装置は、前記同種の複数の機器が予め定められた所定のインターロック条件を満たしたと判断した場合、前記同種の複数の機器のいずれかにインターロック信号を出力し、
    前記同種の複数の機器のいずれかが前記インターロック信号を入力した場合、前記同種の複数の機器は、連動又は非連動のいずれかにかかわらず、前記インターロック信号の指示に従い連動して動作する基板処理システム。
  2. 前記所定のインターロック条件を満たしたインターロック信号が出力されている間、前記同種の複数の機器は、前記制御装置から出力された制御信号を無効にし、前記インターロック信号の指示に従った連動動作を維持する請求項1に記載された基板処理システム。
  3. 前記所定のインターロック条件を満たしたインターロック信号が出力されている間、前記同種の複数の機器のうち、非連動の状態の機器も連動の状態の機器と連動して動作している状態を表示する表示装置を備える請求項1に記載された基板処理システム。
  4. 前記所定のインターロック条件を満たしたインターロック信号が解除された場合、前記同種の複数の機器は、前記制御装置から出力された制御信号を有効にし、前記制御信号の指示に従って前記連動の状態が選択されている機器を連動して動作する請求項1に記載された基板処理システム。
  5. 前記表示装置は、
    前記所定のインターロック条件を満たしたインターロック信号が解除された場合、前記同種の複数の機器の連動又は非連動の状態を表示する請求項4に記載された基板処理システム。
  6. 前記同種の複数の機器は、前記基板処理装置に配設された複数の自動圧力調整器である請求項1に記載された基板処理システム。
  7. 前記同種の複数の機器は、前記基板処理装置に別体に配設されたシャットオフバルブ及び圧力制御バルブであり、
    シャットオフバルブ及び圧力制御バルブの少なくともいずれかは、連動又は非連動のいずれかにかかわらず、所定のインターロック条件を満たしたと判断された場合、前記インターロック信号の指示に従い連動して動作する請求項1に記載された基板処理システム。
  8. 基板処理装置を制御するための制御信号を出力する制御装置と、所定のインターロック条件を満たす場合、インターロック信号を出力するソフトインターロック装置と、を備える基板処理システムを用いた基板処理方法であって、
    前記基板処理装置には同種の複数の機器が設けられ、前記同種の複数の機器が互いに連動して又は非連動に動作するように、機器毎に連動又は非連動のいずれかの状態を選択し、
    前記ソフトインターロック装置により前記同種の複数の機器が予め定められた所定のインターロック条件を満たしたと判断された場合、前記ソフトインターロック装置からインターロック信号を出力し、
    前記同種の複数の機器のいずれかが前記インターロック信号を入力した場合、連動又は非連動のいずれかにかかわらず、前記同種の複数の機器を前記インターロック信号の指示に従い連動して動作させる基板処理方法。
  9. 基板処理装置を制御するための制御信号を出力する制御装置と、所定のインターロック条件を満たす場合、インターロック信号を出力するソフトインターロック装置と、を備える基板処理システムの機能をコンピュータに実行させるためのプログラムを記憶した記憶媒体であって、
    前記基板処理装置には同種の複数の機器が設けられ、前記同種の複数の機器が互いに連動して又は非連動に動作するように、機器毎に連動又は非連動のいずれかの状態を選択する処理と、
    前記ソフトインターロック装置により前記同種の複数の機器が予め定められた所定のインターロック条件を満たしたと判断された場合、前記ソフトインターロック装置からインターロック信号を出力する処理と、
    前記同種の複数の機器のいずれかが前記インターロック信号を入力した場合、連動又は非連動のいずれかにかかわらず、前記同種の複数の機器を前記インターロック信号の指示に従い連動して動作させる処理と、をコンピュータに実行させるプログラムを記憶した記憶媒体。
  10. 基板処理装置に設けられるシャットオフ機能を備えたバルブであって、
    前記バルブは、連動モードと非連動モードとを有し、所定のインターロック条件を満たしたと判断された場合、連動又は非連動のいずれかにかかわらず、前記インターロック信号の指示に従い連動して動作するバルブ。
  11. 前記バルブは、前記基板処理装置に複数設けられていることを特徴とする請求項10に記載のバルブ。
  12. 前記バルブは、並列に配置されていることを特徴とする請求項11に記載のバルブ。
  13. 前記バルブは、前記基板処理装置の排気側に設けられていることを特徴とする請求項10に記載のバルブ。
JP2010542955A 2008-12-15 2009-12-14 基板処理システム、基板処理方法、プログラムを記憶した記憶媒体およびバルブ Expired - Fee Related JP5008768B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010542955A JP5008768B2 (ja) 2008-12-15 2009-12-14 基板処理システム、基板処理方法、プログラムを記憶した記憶媒体およびバルブ

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008318541 2008-12-15
JP2008318541 2008-12-15
PCT/JP2009/070815 WO2010071101A1 (ja) 2008-12-15 2009-12-14 基板処理システム、基板処理方法およびプログラムを記憶した記憶媒体
JP2010542955A JP5008768B2 (ja) 2008-12-15 2009-12-14 基板処理システム、基板処理方法、プログラムを記憶した記憶媒体およびバルブ

Publications (2)

Publication Number Publication Date
JPWO2010071101A1 true JPWO2010071101A1 (ja) 2012-05-31
JP5008768B2 JP5008768B2 (ja) 2012-08-22

Family

ID=42268771

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010542955A Expired - Fee Related JP5008768B2 (ja) 2008-12-15 2009-12-14 基板処理システム、基板処理方法、プログラムを記憶した記憶媒体およびバルブ

Country Status (6)

Country Link
US (1) US20110264250A1 (ja)
JP (1) JP5008768B2 (ja)
KR (1) KR20110084318A (ja)
CN (1) CN102246268A (ja)
TW (1) TW201040680A (ja)
WO (1) WO2010071101A1 (ja)

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2700081B1 (en) 2011-04-22 2022-11-02 ASML Netherlands B.V. Network architecture for lithography machine cluster
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103050421A (zh) * 2011-10-17 2013-04-17 中芯国际集成电路制造(上海)有限公司 刻蚀控制方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101254598B1 (ko) * 2012-08-23 2013-04-15 주식회사 유디엠텍 Smⅴ를 이용한 plc 제어 프로그램의 인터록 기능 검증방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6310260B2 (ja) * 2014-01-20 2018-04-11 株式会社荏原製作所 基板処理装置内の複数の処理ユニットを調整するための調整装置、および該調整装置を備えた基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104195529B (zh) * 2014-09-28 2016-09-14 上海先进半导体制造股份有限公司 Lpcvd炉管及其主阀联锁装置电路
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104690406A (zh) * 2015-01-11 2015-06-10 沈阳汇能机器人自动化有限公司 机器人焊接安全系统
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107533359B (zh) * 2015-05-20 2019-04-23 三菱电机株式会社 信息处理装置和联锁控制方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
GB2551517B (en) * 2016-06-20 2020-06-03 Jaguar Land Rover Ltd Software interlock
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR101963856B1 (ko) * 2018-01-03 2019-07-31 (주)유시스템 반도체 제조 공정용 적어도 하나의 가스 챔버의 복수의 밸브 제어 방법 및 시스템
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN110376932B (zh) * 2018-04-13 2021-05-07 沈阳中科博微科技股份有限公司 一种高诊断覆盖率的功能安全开关量输出模块
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109811323B (zh) * 2019-01-23 2023-09-08 北京北方华创微电子装备有限公司 一种磁控溅射装置和托盘检测方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6618628B1 (en) * 2000-10-05 2003-09-09 Karl A. Davlin Distributed input/output control systems and methods
US6500263B2 (en) * 2001-03-26 2002-12-31 Applied Materials, Inc, Semiconductor substrate processing chamber having interchangeable lids actuating plural gas interlock levels
JP4709423B2 (ja) * 2001-05-16 2011-06-22 アプライド マテリアルズ インコーポレイテッド チャンバ冷却装置および半導体製造装置
JP4571350B2 (ja) * 2001-09-12 2010-10-27 東京エレクトロン株式会社 インターロック機構,インターロック方法および熱処理装置
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6739840B2 (en) * 2002-05-22 2004-05-25 Applied Materials Inc Speed control of variable speed pump
US7723649B2 (en) * 2002-08-05 2010-05-25 Steed Technology, Inc. Vacuum thermal annealer
JP4101166B2 (ja) * 2003-12-11 2008-06-18 大日本スクリーン製造株式会社 基板処理装置
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4252935B2 (ja) * 2004-06-22 2009-04-08 東京エレクトロン株式会社 基板処理装置
US7854821B2 (en) * 2005-06-02 2010-12-21 Tokyo Electron Limited Substrate processing apparatus
US7387083B2 (en) * 2005-12-06 2008-06-17 Life Science Control Corporation Precision watering method and apparatus
JP4643469B2 (ja) * 2006-02-24 2011-03-02 東京エレクトロン株式会社 インターロック制御装置
US7634320B2 (en) * 2006-02-24 2009-12-15 Tokyo Electron Limited Interlock control apparatus

Also Published As

Publication number Publication date
KR20110084318A (ko) 2011-07-21
TW201040680A (en) 2010-11-16
CN102246268A (zh) 2011-11-16
JP5008768B2 (ja) 2012-08-22
WO2010071101A1 (ja) 2010-06-24
US20110264250A1 (en) 2011-10-27

Similar Documents

Publication Publication Date Title
JP5008768B2 (ja) 基板処理システム、基板処理方法、プログラムを記憶した記憶媒体およびバルブ
TWI734023B (zh) 真空設備及其操作方法
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
US20080206036A1 (en) Magnetic media processing tool with storage bays and multi-axis robot arms
JP2001509646A (ja) 半導体ウエハ製造装置のモジュール式アーキテクチャ
JP5020605B2 (ja) 上位制御装置、下位制御装置、画面の操作権付与方法および画面の操作権付与プログラムを記憶した記憶媒体
US20080202420A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
JP2012109333A (ja) 基板処理装置
US11276592B2 (en) Processing apparatus and processing method
US9818629B2 (en) Substrate processing apparatus and non-transitory computer-readable recording medium
US20080206022A1 (en) Mult-axis robot arms in substrate vacuum processing tool
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber
JP2008158769A (ja) 基板処理システム、制御装置、設定情報監視方法および設定情報監視プログラムを記憶した記憶媒体
JP2013042062A (ja) 基板処理装置及び半導体装置の製造方法
JP2016066714A (ja) 処理装置および処理方法
US20080206021A1 (en) Stacked process chambers for magnetic media processing tool
US20080202687A1 (en) Stacked process chambers for flat-panel display processing tool
US11823877B2 (en) Substrate processing system, substrate processing method, and controller
JP2014120618A (ja) 真空処理装置及び真空処理方法
US20110190924A1 (en) Control device for controlling substrate processing apparatus and method therefor
JP2015185826A (ja) 基板処理装置
JP5579397B2 (ja) 真空処理装置
US20080202410A1 (en) Multi-substrate size vacuum processing tool
US20080206020A1 (en) Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080202686A1 (en) Self-contained process modules for magnetic media processing tool

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120508

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120529

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150608

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees