KR20110084318A - 기판 처리 시스템, 기판 처리 방법 및 프로그램을 기억한 기억 매체 - Google Patents

기판 처리 시스템, 기판 처리 방법 및 프로그램을 기억한 기억 매체 Download PDF

Info

Publication number
KR20110084318A
KR20110084318A KR1020117013451A KR20117013451A KR20110084318A KR 20110084318 A KR20110084318 A KR 20110084318A KR 1020117013451 A KR1020117013451 A KR 1020117013451A KR 20117013451 A KR20117013451 A KR 20117013451A KR 20110084318 A KR20110084318 A KR 20110084318A
Authority
KR
South Korea
Prior art keywords
interlock
devices
interlocking
signal
substrate processing
Prior art date
Application number
KR1020117013451A
Other languages
English (en)
Inventor
마사루 니시무라
히로유키 다카노
소우이치 니시지마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110084318A publication Critical patent/KR20110084318A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/04Programme control other than numerical control, i.e. in sequence controllers or logic controllers
    • G05B19/05Programmable logic controllers, e.g. simulating logic interconnections of signals according to ladder diagrams or function charts
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/32Address formation of the next instruction, e.g. by incrementing the instruction counter

Abstract

인터록 신호에 기초하여 복수의 동종의 기기를 클러스터 제어한다. 기판 처리 시스템(10)은 PM을 제어하기 위한 시리얼 신호를 출력하는 상위 PC(100) 및 소정의 인터록 조건을 충족시키는 경우 인터록 신호를 출력하는 안전 PLC(300)를 가지고 있다. 기판 처리 시스템(10)의 PM에는 동종의 복수의 APC 밸브가 설치되고, 복수의 APC 밸브는 서로 연동하여 또는 비연동으로 동작하도록 기기마다 연동 또는 비연동 중 어느 한 상태가 선택된다. 안전 PLC(300)는 소정의 인터록 조건이 충족되었다고 판단한 경우, 이상을 나타내는 인터록 신호를 출력한다. 복수의 APC 밸브 중 어느 하나가 인터록 신호를 입력 받은 경우, 모든 APC 밸브는 연동 또는 비연동에 관계없이 인터록 신호의 지시에 따라 연동하여 동작한다.

Description

기판 처리 시스템, 기판 처리 방법 및 프로그램을 기억한 기억 매체{SYSTEM FOR PROCESSING OF SUBSTRATE, METHOD OF PROCESSING OF SUBSTRATE, AND STORAGE MEDIUM THAT STORES PROGRAM}
본 발명은 인터록 장치를 구비한 기판 처리 시스템, 기판 처리 방법 및 기판 처리 시스템의 기능을 실행하기 위한 프로그램을 기억한 기억 매체에 관한 것이다.
근래에 반도체 제조 공장에서는 복수의 기판 처리 장치가 클러스터형으로 배치되어 있는 기판 처리 시스템이 구축되고 있다. 각 기판 처리 장치에는 네트워크를 거쳐 제어 장치가 접속되어 있다. 제어 장치는 레시피에 따라 소정의 타이밍으로 기판 처리 장치에 제어 신호를 출력한다. 기판 처리 장치는 제어 신호에 따라, 예를 들면 각종 밸브의 개폐, 펌프 또는 APC(자동 압력 조정: Automatic Pressure Control) 밸브의 밸브체의 개방도 등을 구동하여, 이에 따라 기판에 에칭 또는 성막 등의 원하는 처리가 실시된다.
기판 처리 장치가 이상 상태인 경우, 제어 신호에 따라 기판 처리 장치 내의 기기를 동작시켜도, 기판 처리 장치 내를 원하는 분위기로 유지할 수 없어지거나, 기판에 원하는 처리를 실시할 수 없거나, 반송 중인 기판이 기기에 충돌하는 등의 사고가 일어날 수 있다. 따라서, 종래부터 인터록 장치를 이용하여 기기의 오동작을 방지하는 구조가 고려되고 있다. 인터록 장치는 기판 처리 장치 내의 각 기기의 상태를 검지하는 센서로부터 신호를 입력 받고, 입력된 신호가 소정의 인터록 조건을 충족시키는 경우, 이상 사태라고 판단하여 기기의 오동작을 회피하기 위한 인터록 신호를 출력한다. 해당 기기는 인터록 신호의 지시에 따라 동작을 정지한다.
상기 기능을 가지는 인터록 장치 중, 하드 인터록 장치는 인터록 조건을 회로(하드웨어)에 의해 구축하기 때문에 회로 설계 시의 부담이 크다. 특히, 근래에 공장 내의 기판 처리 시스템이 다양화 및 복잡화됨에 따라, 설계 시의 부담은 보다 증대되고, 설계 후의 인터록 회로의 변경 또는 추가도 곤란해지고 있다.
따라서, 회로로 구축되어 있던 인터록 조건을 프로그램(소프트웨어)화하여 제어 가능한 소프트 인터록 장치가 개발되고 있다(예를 들면, 특허 문헌 1을 참조). 소프트 인터록 장치 중, 안전 PLC(Programmable Logic Controller)는 안전 인증된 소프트 인터록 장치이다.
일본특허공개공보 평5-120006호
그러나, 기판 처리 장치에 동종의 복수의 기기가 설치되어 있을 때, 각 기기는 서로 연동하여 동작할지 또는 비연동으로 동작할지를 선택 가능한 경우가 있다. 이 경우, 연동으로 선택된 복수의 기기는 제어 신호에 따라 연동하여 동일한 동작을 실행한다(클러스터 제어). 한편, 비연동으로 선택된 기기는 제어 신호가 발신되어도 이에 따르지 않고 현 상태를 유지한다.
예를 들면, 펌프에 접속된 APC 밸브가 동일 기판 처리 장치에 복수 배치되어 있는 경우에 대하여 생각한다. 모든 APC 밸브의 밸브체가 차단인 상태에 대하여, 기판 처리 장치의 내측은 충분히 배기하고자 하나 앞측은 배기하고자 하지 않는 경우, 오퍼레이터는 내측의 APC 밸브를 연동 상태로 설정하고 앞측의 APC 밸브를 비연동 상태로 설정한다. 이 상태에서 APC 밸브의 밸브체를 개방으로 하는 제어 신호가 출력되면, 연동 상태에 있는 내측의 APC 밸브의 밸브체는 이에 따라 개방 상태가 된다. 이에 반해, 비연동 상태에 있는 앞측의 APC 밸브의 밸브체는 차단 상태를 유지한다. 이와 같이 연동 또는 비연동을 선택해둠으로써, 복수의 동종의 기기에 일률적이지 않은 동작을 실행시킬 수 있다.
제어 신호에 대한 클러스터 제어는 인터록 신호에 대해서도 동일하게 행해진다. 즉, 연동으로 선택된 복수의 기기는 소프트 인터록 장치로부터 출력된 인터록 신호에 따라 클러스터 제어되지만, 비연동으로 선택된 기기는 인터록 신호에 따르지 않고 현 상태를 유지한다. 이래서는, 소프트 인터록 장치로부터의 지시에 따라 사고를 회피하고자 하는 긴급 시에도 비연동의 기기를 인터록 신호에 기초하여 강제적으로 동작시킬 수 없어진다. 이와 같이 인터록 기능이 불충분하면 안전면에서의 신속한 대응이 방해되어 시스템이 위험한 상태가 될 우려가 있다. 예를 들면, 시스템이 다운되거나 가동 상황이 불안정한 상태가 되면, 기판 처리 장치 내를 원하는 분위기로 유지할 수 없게 되어 기판 처리된 결과물이 제품으로서의 가치를 이룰 수 없어져 스루풋을 저하시키고, 시스템의 생산성을 저하시킨다. 또한, 시스템 관리자의 부담도 커진다.
따라서, 본 발명에서는 소프트 인터록 장치가 이상을 발신한 경우, 연동 또는 비연동에 관계없이 인터록 신호에 기초하여 동종의 기기를 클러스터 제어하는 기판 처리 시스템, 기판 처리 방법 및 기판 처리 시스템의 기능을 실행하기 위한 프로그램을 기억한 기억 매체를 제공한다.
상기 과제를 해결하기 위하여, 본 발명의 일 측면에 따르면, 기판 처리 장치를 제어하기 위한 제어 신호를 출력하는 제어 장치와, 소정의 인터록 조건을 충족시키는 경우 인터록 신호를 출력하는 소프트 인터록 장치를 구비하는 기판 처리 시스템이 제공된다. 기판 처리 시스템에서는, 상기 기판 처리 장치에는 동종의 복수의 기기가 설치되고, 상기 동종의 복수의 기기는 서로 연동하여 또는 비연동으로 동작하도록 기기마다 연동 또는 비연동 중 어느 한 상태가 선택된다. 상기 소프트 인터록 장치는, 상기 동종의 복수의 기기가 미리 정해진 소정의 인터록 조건을 충족시켰다고 판단한 경우, 상기 동종의 복수의 기기 중 어느 하나에 인터록 신호를 출력한다. 상기 동종의 복수의 기기 중 어느 하나가 상기 인터록 신호를 입력 받은 경우, 상기 동종의 복수의 기기는 연동 또는 비연동에 관계없이 상기 인터록 신호의 지시에 따라 연동하여 동작한다.
이에 따르면, 동종의 복수의 기기에 장착된 센서가 이상을 검출하고, 복수의 동종의 기기가 소정의 인터록 조건을 충족시켰다고 판단된 경우, 인터록 신호가 출력된다. 이때, 동종의 복수의 기기는 연동 또는 비연동 중 어느 것으로 설정되어 있는지에 관계없이 인터록 신호의 지시에 따라 동작한다. 이에 따르면, 동종의 기기 중 어느 하나가 비연동 상태여도 모든 기기에 강제적으로 인터록 신호의 지시에 따른 동작을 실행할 수 있다. 그 결과, 인터록의 지시가 모든 기기에 반영되기 때문에, 안전면에서의 신속한 대응을 확보할 수 있다. 이에 따라, 시스템 다운을 회피하고 가동 상황을 안정시켜, 스루풋의 향상 및 시스템의 생산성의 향상을 도모할 수 있다. 또한, 시스템 관리자의 메인터넌스의 부담도 경감시킬 수 있다.
상기 소정의 인터록 조건을 충족시킨 인터록 신호가 출력되고 있는 동안, 상기 동종의 복수의 기기는 상기 제어 장치로부터 출력된 제어 신호를 무효로 하고, 상기 인터록 신호의 지시에 따른 연동 동작을 유지해도 좋다.
상기 소정의 인터록 조건을 충족시킨 인터록 신호가 출력되고 있는 동안, 상기 동종의 복수의 기기 중 비연동 상태의 기기도 연동 상태의 기기와 연동하여 동작하고 있는 상태를 표시하는 표시 장치를 구비해도 좋다.
상기 소정의 인터록 조건을 충족시킨 인터록 신호가 해제된 경우, 상기 동종의 복수의 기기는 상기 제어 장치로부터 출력된 제어 신호를 유효로 하고, 상기 제어 신호의 지시에 따라 상기 연동 상태가 선택되어 있는 기기를 연동하여 동작시켜도 좋다.
상기 표시 장치는, 상기 소정의 인터록 조건을 충족시킨 인터록 신호가 해제된 경우, 상기 동종의 복수의 기기의 연동 또는 비연동 상태를 표시해도 좋다.
상기 동종의 복수의 기기의 일례로는 상기 기판 처리 장치에 배치된 복수의 APC 밸브를 들 수 있다.
상기 동종의 복수의 기기의 다른 예로는, 상기 기판 처리 장치에 별체로 배치된 셧 오프 밸브 및 압력 제어 밸브를 들 수 있다. 이 경우, 셧 오프 밸브 및 압력 제어 밸브 중 적어도 어느 하나는 연동 또는 비연동에 관계없이 소정의 인터록 조건을 충족시켰다고 판단된 경우, 상기 인터록 신호의 지시에 따라 연동하여 동작해도 좋다.
또한, 상기 과제를 해결하기 위하여, 본 발명의 다른 측면에 따르면, 기판 처리 장치를 제어하기 위한 제어 신호를 출력하는 제어 장치와, 소정의 인터록 조건을 충족시키는 경우 인터록 신호를 출력하는 소프트 인터록 장치를 구비하는 기판 처리 시스템을 이용한 기판 처리 방법으로서, 상기 기판 처리 장치에는 동종의 복수의 기기가 설치되고, 상기 동종의 복수의 기기가 서로 연동하여 또는 비연동으로 동작하도록 기기마다 연동 또는 비연동 중 어느 한 상태를 선택하며, 상기 소프트 인터록 장치에 의해 상기 동종의 복수의 기기가 미리 정해진 소정의 인터록 조건을 충족시켰다고 판단된 경우, 상기 소프트 인터록 장치로부터 인터록 신호를 출력하고, 상기 동종의 복수의 기기 중 어느 하나가 상기 인터록 신호를 입력 받은 경우, 연동 또는 비연동에 관계없이 상기 동종의 복수의 기기를 상기 인터록 신호의 지시에 따라 연동하여 동작시키는 기판 처리 방법이 제공된다.
또한, 상기 과제를 해결하기 위하여, 본 발명의 다른 측면에 따르면, 기판 처리 장치를 제어하기 위한 제어 신호를 출력하는 제어 장치와, 소정의 인터록 조건을 충족시키는 경우 인터록 신호를 출력하는 소프트 인터록 장치를 구비하는 기판 처리 시스템의 기능을 컴퓨터에 실행시키기 위한 프로그램을 기억한 기억 매체로서, 상기 기판 처리 장치에는 동종의 복수의 기기가 설치되고, 상기 동종의 복수의 기기가 서로 연동하여 또는 비연동으로 동작하도록 기기마다 연동 또는 비연동 중 어느 한 상태를 선택하는 처리와, 상기 소프트 인터록 장치에 의해 상기 동종의 복수의 기기가 미리 정해진 소정의 인터록 조건을 충족시켰다고 판단된 경우, 상기 소프트 인터록 장치로부터 인터록 신호를 출력하는 처리와, 상기 동종의 복수의 기기 중 어느 하나가 상기 인터록 신호를 입력 받은 경우, 연동 또는 비연동에 관계없이 상기 동종의 복수의 기기를 상기 인터록 신호의 지시에 따라 연동하여 동작시키는 처리를 컴퓨터에 실행시키는 프로그램을 기억한 기억 매체가 제공된다.
상기 과제를 해결하기 위하여, 본 발명의 다른 측면에 따르면, 기판 처리 장치에 설치되는 셧 오프 기능을 구비한 밸브로서, 상기 밸브는 연동 모드와 비연동 모드를 가지며, 소정의 인터록 조건을 충족시켰다고 판단된 경우, 연동 또는 비연동에 관계없이 상기 인터록 신호의 지시에 따라 연동하여 동작하는 밸브가 제공된다.
상기 밸브는 상기 기판 처리 장치에 복수 설치되어 있어도 좋다.
상기 밸브는 병렬로 배치되어 있어도 좋다.
상기 밸브는 상기 기판 처리 장치의 배기측에 설치되어 있어도 좋다.
이상 설명한 바와 같이 본 발명에 따르면, 소프트 인터록 장치가 이상을 발신한 경우, 연동 또는 비연동에 관계없이 인터록 신호에 기초하여 동종의 복수의 기기를 제어할 수 있다.
도 1은 본 발명의 제 1 및 제 2 실시예에 따른 기판 처리 시스템의 개략 구성도이다.
도 2는 제 1 실시예에 따른 프로세스 모듈(PM3)의 종단면이다.
도 3은 제 1 실시예에 따른 프로세스 모듈(PM4)의 사시도이다.
도 4는 제 1 실시예에 따른 인터록 신호와 복수의 클러스터 기기의 동작과의 관계를 설명하기 위한 도면이다.
도 5는 인터록 조건 테이블의 일례를 나타낸 도면이다.
도 6은 제 1 실시예의 통상시의 인터록 신호와 복수의 클러스터 기기의 동작과의 관계를 설명하기 위한 도면이다.
도 7은 제 1 실시예 및 종래의 이상 시의 인터록 신호와 복수의 클러스터 기기의 동작과의 관계를 설명하기 위한 도면이다.
도 8은 제 1 실시예 및 종래의 이상 시의 인터록 신호와 복수의 클러스터 기기의 동작과의 관계를 설명하기 위한 도면이다.
도 9는 제 1 실시예에 따른 시리얼 신호 / 인터록 신호 처리를 나타낸 순서도이다.
도 10은 제 1 실시예에 따른 연동 제어중의 메인터넌스 화면이다.
도 11은 제 1 실시예에 따른 연동, 비연동 제어 중의 메인터넌스 화면이다.
도 12는 제 1 실시예에 따른 인터록 발생 중의 메인터넌스 화면이다.
도 13은 종래의 인터록 발생 중의 메인터넌스 화면이다.
도 14는 제 1 실시예에 따른 셧 오프 밸브와 압력 제어 밸브가 일체화된 경우(APC 밸브의 경우)의 프로세스 모듈의 모식도이다.
도 15는 제 1 실시예에 따른 셧 오프 밸브와 압력 제어 밸브가 일체화된 경우(APC 밸브의 경우)의 신호 입력의 일례를 도시한 도면이다.
도 16은 제 1 실시예에 따른 셧 오프 밸브와 압력 제어 밸브가 일체화된 경우(APC 밸브의 경우)의 신호 입력의 다른 예를 도시한 도면이다.
도 17은 제 2 실시예에 따른 셧 오프 밸브와 압력 제어 밸브가 별체인 경우의 프로세스 모듈의 모식도이다.
도 18은 제 2 실시예에 따른 셧 오프 밸브와 압력 제어 밸브가 별체인 경우의 신호 입력의 일례를 도시한 도면이다.
도 19는 제 2 실시예에 따른 셧 오프 밸브와 압력 제어 밸브가 별체인 경우의 신호 입력의 다른 예를 도시한 도면이다.
도 20은 제 2 실시예의 경우의 대유량 시의 운용예를 도시한 도면이다.
도 21은 제 2 실시예의 경우의 중유량 시의 운용예를 도시한 도면이다.
도 22는 제 2 실시예의 경우의 중유량 시의 운용예를 도시한 도면이다.
도 23은 제 2 실시예의 경우의 중유량 시의 운용예를 도시한 도면이다.
도 24는 제 2 실시예의 경우의 소유량 시의 운용예를 도시한 도면이다.
도 25는 제 2 실시예의 경우의 소유량 시의 운용예를 도시한 도면이다.
도 26은 제 2 실시예의 경우의 소유량 시의 운용예를 도시한 도면이다.
도 27은 제 1 실시예의 경우의 소유량 시의 운용예를 도시한 도면이다.
도 28은 제 1 실시예의 경우의 소유량 시의 운용예를 도시한 도면이다.
도 29는 제 1 실시예의 경우의 소유량 시의 운용예를 도시한 도면이다.
이하에 첨부 도면을 참조하여 본 발명의 적합한 실시예에 대해 상세하게 설명한다. 또한, 이하의 설명 및 첨부 도면에서 동일한 구성 및 기능을 가지는 구성 요소에 대해서는 동일 부호를 부여함으로써 중복 설명을 생략한다.
(제 1 실시예)
먼저, 본 발명의 제 1 실시예에 따른 기판 처리 시스템에 대해 도 1을 참조하여 설명한다. 도 1은 제 1 실시예에 따른 기판 처리 시스템의 개략 구성도이다.
[기판 처리 시스템]
기판 처리 시스템(10)은 상위 PC(Personal Computer)(100), 하위 PC(200a ~ 200e), 안전 PLC(Programmable Logic Controller)(300a ~ 300e), 트랜스퍼 모듈(TM(Transfer Module)), 프로세스 모듈(PM(Process Module)1 ~ PM4)을 가지고 있다. 각 기기는, 예를 들면 Ethernet(등록상표) 등의 네트워크(400)에 의해 각각 접속되어 있다. 또한, 상위 PC(100)는 LAN(Local Area Network)(500)을 경유하여 호스트 컴퓨터(600)에 접속되어 있다.
하위 PC(200a ~ 200e)는 클린룸(Cln) 내이며 트랜스퍼 모듈(TM), 프로세스 모듈(PM1 ~ PM4)의 근방에 각각 배치되어 있다. 상위 PC(100)는 클린룸(Cln)의 외부에 배치되어 있다. 상위 PC(100)는 하위 PC(200a ~ 200e)와의 사이에서 제어 신호를 송수신함으로써 트랜스퍼 모듈(TM) 및 프로세스 모듈(PM1 ~ PM4)을 각각 원격 조정한다. 구체적으로, 상위 PC(100)는 트랜스퍼 모듈(TM)로 기판을 반송하기 위한 제어 신호를 송출하고, 프로세스 모듈(PM1 ~ PM4)에서 기판을 미세 가공하기 위한 제어 신호를 송출한다.
각 프로세스 모듈(PM)에서 실행되는 기판 처리의 일례로는 프로세스 모듈(PM1)에서 실행되는 스퍼터링 처리, 프로세스 모듈(PM2)에서 실행되는 에칭 처리, 프로세스 모듈(PM3)에서 실행되는 CVD(Chemical Vapor Deposition: 화학 증착 박막 성막법) 성막 처리, 프로세스 모듈(PM4)에서 실행되는 6 층 연속 유기 EL막 증착 처리를 들 수 있다. 프로세스 모듈(PM) 및 트랜스퍼 모듈(TM)의 수 또는 배치 위치는 이에 한정되지 않으며, 자유롭게 설계할 수 있다. 또한, 트랜스퍼 모듈(TM) 및 프로세스 모듈(PM1 ~ PM4)은 기판을 처리하는 기판 처리 장치의 일례이다. 상위 PC(100)는 기판 처리 장치를 제어하기 위한 제어 신호를 출력하는 제어 장치의 일례이다. 상위 PC(100)와 하위 PC(200a ~ 200e)를 합쳐서 제어 장치라고 해도 좋다.
트랜스퍼 모듈(TM), 프로세스 모듈(PM1 ~ PM4)에는 각 모듈에 장착된 기기의 상태를 검지하는 센서군(TMs, PM1s ~ PM4s)이 각각 장착되어 있다. 센서군(TMs, PM1s ~ PM4s)의 검출치는 안전 PLC(300a ~ 300e)에 각각 입력되도록 되어 있다. 안전 PLC(300)는 하드 인터록 장치에서 하드웨어(안전 회로)로 구축되어 있던 인터록의 기능을 프로그램화하여 소프트웨어로 제어 가능한 안전 인증된 소프트 인터록 장치에 상당한다.
안전 PLC(300)는 센서군의 검출 신호를 입력 받고, 센서군의 검출 신호가 소정의 인터록 조건을 충족시키는 경우, 이상 상태를 알리는 인터록 신호를 출력한다. 이에 따라, 트랜스퍼 모듈(TM), 프로세스 모듈(PM1 ~ PM4) 내의 해당 기기의 구동을 일시적으로 정지한다. 그 결과, 예를 들면 잘못된 가스를 공급하는 것 또는 기판이 기기에 충돌하는 등의 위험성을 회피하여 트랜스퍼 모듈(TM) 또는 프로세스 모듈(PM) 내부의 기기를 보호하고, 공장 내의 작업원의 메인터넌스를 용이하게 할 수 있다. 호스트 컴퓨터(600)는 상위 PC(100)와 데이터를 송수신함으로써 데이터 관리 등 기판 처리 시스템(10) 전체를 관리한다.
이어서, 프로세스 모듈(PM1 ~ PM4)의 내부 구성의 일례로서 CVD 처리를 실행하는 프로세스 모듈(PM3) 및 6 층 연속 유기 EL 증착막 처리를 실행하는 프로세스 모듈(PM4)의 내부 구성에 대해 도 2 및 도 3을 참조하여 설명한다. 도 2는 프로세스 모듈(PM3)에 설치된 마이크로파 플라즈마 처리 장치(CVD 장치)의 종단면도를 모식적으로 도시한 도면이고, 도 3은 프로세스 모듈(PM4)에 설치된 6 층 연속 유기 EL 증착 장치의 주요부 사시도를 모식적으로 도시한 도면이다.
[프로세스 모듈(PM3)의 내부 구성]
프로세스 모듈(PM3)의 마이크로파 플라즈마 처리 장치는 천장면이 개구된 유저(有底) 정육면체 형상의 처리 용기(C)를 가지고 있다. 처리 용기(C)의 천장면에는 덮개체(302)가 장착되어 있다. 처리 용기(C)와 덮개체(302)의 접합면에는 O 링(304)이 설치되고, 이에 의해 처리실 내의 기밀이 유지되고 있다. 처리 용기(C) 및 덮개체(302)는, 예를 들면 알루미늄 등의 금속으로 이루어지며, 전기적으로 접지되어 있다.
처리 용기(C)에는 그 내부에서 글라스 기판(이하 ‘기판’이라고 함)(G)을 재치(載置)하기 위한 서셉터(306)가 설치되어 있다. 서셉터(306)는, 예를 들면 질화 알루미늄으로 이루어지며, 그 내부에는 급전부(308)가 설치되어 있다. 급전부(308)에는 정합기(312)를 개재하여 고주파 전원(314)이 접속되어 있다. 고주파 전원(314)은 접지되어 있다. 급전부(308)는 고주파 전원(314)으로부터 출력된 고주파 전력에 의해 처리 용기(C)의 내부에 소정의 바이어스 전압을 인가하도록 되어 있다. 서셉터(306)는 통체(326)에 지지되어 있다. 서셉터(306)의 주위에는 처리실의 가스의 흐름을 바람직한 상태로 제어하기 위한 배플판(328)이 설치되어 있다.
덮개체(302)에는 6 개의 도파관(330), 슬롯 안테나(332) 및 복수 매의 유전체(334)가 설치되어 있다. 각 도파관(330)은 그 단면 형상이 직사각형 형상이며, 덮개체(302)의 내부에서 평행하게 나열되어 설치되어 있다.
슬롯 안테나(332)는 덮개체(302)의 하방에서 덮개체(302)와 일체적으로 형성되어 있다. 슬롯 안테나(332)는 알루미늄 등의 비자성체인 금속으로 형성되어 있다. 슬롯 안테나(332)에는 각 도파관(330)의 하면에서 슬롯(개구)이 형성되어 있다. 각 도파관 내 및 각 슬롯 내에는 불소 수지, 알루미나(Al2O3), 석영 등의 유전 부재가 충전되어 있다.
이러한 구성에 의해, 마이크로파원(336)으로부터 출력된 마이크로파는 각 도파관(330)을 전파하여 슬롯 안테나(332)의 슬롯으로 통과되고, 각 유전체(334)를 투과하여 처리 용기(C)의 내부로 입사된다.
슬롯 안테나(332)의 하면에서는 복수의 유전체(334)가 빔(342)에 지지되어 있다. 빔(342)은 알루미늄 등의 비자성체로 형성되어 있다. 빔(342)에는 가스 도입관(344)이 관통하고 있다. 가스 도입관(344)에는 가스 라인(346)을 거쳐 가스 공급원(348)이 접속되어 있다. 가스는 가스 공급원(348)으로부터 공급되어 가스 라인(346)을 거쳐 가스 도입관(344)으로부터 처리 용기 내로 도입된다.
본 실시예에서는 APC 밸브가 동일 기판 처리 장치에 4 개 배치되어 있다. APC 밸브 1, APC 밸브 2, APC 밸브 3, APC 밸브 4(이하, 간단히 APC1, APC2, APC3, APC4라고 함)는 밸브체의 개방도를 조절함으로써 처리실 내부의 압력을 자동 조절한다. 드라이 펌프 DRP(Dry Pump)(356)는 각 APC를 개재하여 처리실 내부를 러프 배기하고, 터보 몰레큘러 펌프 TMP(358)는 처리실 내부를 진공 배기한다. 이에 따라, 처리실 내부는 소정의 진공도로 유지된다.
APC1, APC2, APC3, APC4 중 인접하는 기기끼리는 Ethernet(등록상표) 등의 네트워크(360)에 의해 접속되어 있다. APC1은 하위 PC(200d)를 개재하여 상위 PC(100)에 접속된 마스터측의 자동 압력 조정기이다. APC2, APC3, APC4는 마스터측의 APC1에 연쇄적으로 접속된 슬레이브측의 자동 압력 조정기이다. APC1, APC2, APC3, APC4는 오퍼레이터에 의해 각각 ‘연동’ 또는 ‘비연동’ 중 어느 하나로 설정된다. 게이트 밸브(370)는 처리실 내의 기밀을 유지하면서 기판(G)을 반입, 반출하기 위한 개폐구이다.
이러한 구성에 의해, 상위 PC(100)로부터 송신된 제어 신호는 하위 PC(200d)를 거쳐 각 기기로 보내진다. 예를 들면, 마이크로파원(336), 고주파 전원(314), 고압 직류 전원(318), 가스 공급원(348)의 밸브 또는 매스플로우 콘트롤러(모두 도시하지 않음), APC1, APC2, APC3, APC4, 드라이 펌프 DRP(356), 터보 몰레큘러 펌프 TMP(358), 게이트 밸브(370) 등은 제어 신호에 따라 소정의 타이밍으로 구동한다. 그 결과, 처리 용기 내를 원하는 진공도로 유지하면서, 처리 용기 내부로 공급된 가스가 처리 용기 내로 도입된 마이크로파의 전계 에너지에 의해 플라즈마화되고, 생성된 플라즈마의 작용에 의해 기판(G)에 성막 처리가 실시된다.
[센서군]
프로세스 모듈(PM3)에는 프로세스 모듈(PM3)의 내부 기기의 상태를 검지하는 센서군(PM3s)으로서 각종 센서(S1 ~ S5)가 장착되어 있고, 그 검출치(출력 신호)는 안전 PLC(300d)로 송출되도록 되어 있다.
구체적으로, 센서(S1)는 온/오프 스위치다. 센서(S1)의 스위치는 덮개체(302)가 닫혀 있는 경우에는 덮개체(302)로부터의 압력(押力)에 의해 입력되고(스위치 온), 덮개체(302)가 열려 있는 경우에는 덮개체(302)의 압력(押力)으로부터 해방됨으로써 차단된다(스위치 오프). 이와 같이 하여, 센서(S1)는 처리 용기(C)의 천장면의 개폐 상태를 검출하고, 그 결과를 안전 PLC(300d)로 송출한다.
센서(S2)는 게이트 밸브(370)에 설치된 개구도(開口度) 센서이며, 게이트 밸브(370)의 개구도를 검출함으로써 게이트 밸브(370)의 개폐 상태를 검지하고, 그 결과를 안전 PLC(300d)로 송출한다.
센서(S3)는 드라이 펌프 DRP(356)에 장착된 알람 장치이며, DRP(356)의 전원의 온/오프를 검출하여, 소정의 타이밍에 DRP(356)가 동작하고 있지 않은 경우(전원 오프), 알람을 안전 PLC(300d)에 출력한다.
센서(S4)는 센서(S1)와 마찬가지로 온/오프 스위치이며, 기판(G)의 유무에 따라 스위치를 온/오프함으로써 기판(G)이 스테이지 상에 놓여 있는지 아닌지를 검지하고, 그 결과를 안전 PLC(300d)로 송출한다.
센서(S5)는 진공 게이지이며, 덮개부(T)에 의해 그 외주(外周)가 고정된 상태로 처리 용기(C)의 측벽을 관통하여 장착되어 있다. 센서(S5)는 처리실 내의 진공압을 측정하고, 그 값을 안전 PLC(300d)로 송출한다.
[프로세스 모듈(PM4)의 내부 구성]
이어서, 프로세스 모듈(PM4)의 6 층 연속 유기 EL 증착 장치의 내부 구성에 대해 도 3을 참조하여 간단하게 설명한다. 프로세스 모듈(PM4)에서는 기판(G) 상에 유기 EL층을 포함하는 6 층이 연속적으로 증착된다.
프로세스 모듈(PM4) 내에는 6 개의 증착원(410a ~ 410f)이 내장되어 있다. 6 개의 증착원(410a ~ 410f)에는 상이한 종류의 성막 재료가 들어있고, 각 증착원(410)에 들어 있는 도가니를, 예를 들면 200 ~ 500℃ 정도의 고온으로 함으로써 각종 성막 재료를 기화시키도록 되어 있다.
6 개의 증착원(410a ~ 410f)에는 6 개의 연결관(420a ~ 420f)을 거쳐 6 개의 분출 용기(430a ~ 430f)가 연결되어 있다. 6 개의 증착원(410a ~ 410f)에서 기화된 각종 성막 재료는 6 개의 연결관(420a ~ 420f)을 각각 통과하여 6 개의 분출 용기(430a ~ 430f)의 상면에 형성된 개구(OP)(분출구)로부터 분출된다.
각 분출 용기(430)의 사이에는 격벽(440)이 설치되어 있어, 이들 7 개의 격벽(440)에 의해 각 분출 용기(430)를 구획함으로써, 인접하는 분출 용기(430)로부터 분출되는 각 기체 분자가 서로 섞이는 것을 방지한다.
기판(G)은 프로세스 모듈(PM4)의 천장면 근방에서 슬라이드 기구를 구비한 스테이지(모두 도시하지 않음)에 정전 흡착되어 있으며, 각 분출 용기(430a ~ 430f)의 약간 상방을 제 1 분출기(430a) ~ 제 6 분출기(430f)의 순으로 이동한다. 이에 따라, 기판(G)에는 각 분출 용기(430a ~ 430f)로부터 각각 분출되는 성막 재료에 의해 상이한 6 층의 막이 연속적으로 적층된다.
또한, 프로세스 모듈(PM4)에도 프로세스 모듈(PM2)과 마찬가지로 프로세스 모듈(PM4)의 내부 기기의 상태를 검지하는 센서군(PM4s)이 장착되어 있고, 그 검출치는 안전 PLC(300e)로 송출되도록 되어 있으나, 여기서는 설명을 생략한다.
[PC의 하드웨어 구성]
상위 PC(100)의 하드웨어 구성에 대하여 간단하게 설명한다. 또한, 하위 PC(200)의 하드웨어 구성은 상위 PC(100)와 거의 동일하기 때문에 여기서는 상위 PC(100)에 대해서만 설명한다. 상위 PC(100)는 도시하지 않은 ROM, RAM, CPU, 버스 및 인터페이스를 가지고 있다. ROM에는 상위 PC(100)에서 실행되는 기본적인 프로그램 또는 이상 시에 기동하는 프로그램, 각종 레시피 등이 기록되어 있다. RAM에는 각종 데이터 등이 축적되어 있다. 또한, ROM 및 RAM은 기억 장치의 일례이며, 예를 들면 EEPROM, 광 디스크, 광자기 디스크 등의 기억 장치여도 좋다. CPU는 각종 레시피(프로그램)에 따라 기판의 처리를 제어하는 신호를 출력한다. 버스는 ROM, RAM, CPU 및 인터페이스의 각 기기 간에 데이터를 송수신하는 경로이다.
[안전 PLC의 기능]
이어서, 안전 PLC(300)의 기능에 대해 도 4를 참조하여 설명한다. 본 실시예에서는, 하드 인터록 장치(PLC(320)) 외에 하드웨어(안전 회로)로 구축되어 있던 인터록의 기능을 프로그램화하여 소프트웨어로 제어하는 안전 인증된 안전 PLC(300)가 설치되어 있다.
상위 PC(100)로부터는 제어 신호로서 시리얼 신호가 출력된다. 안전 PLC(300)로부터는 DI(Digital Input) / DO(Digital Output) 신호로서 펄스 신호가 입출력된다. 안전 PLC(300)는 인터록 조건 테이블(310)에 기억된 소정의 인터록 조건이 충족된 경우, 이상을 나타내는 인터록 신호를 출력한다.
도 5에 나타낸 바와 같이, 인터록 조건 테이블(310)에는 인터록 조건을 나타낸 설정 정보가 각 기기에 관련지어져 기억되어 있다. 도 5에는 APC를 ‘OPEN’하는 동작을 정지할지 하지 않을지의 인터록 조건으로서 다음의 5 조건이 설정되어 있다. 예를 들면, ‘Lid Open(1.0) == ON’은 덮개체(302)의 상태가 기억된 어드레스 ‘1’의 0 비트째가 ON(즉, 개구)으로 되어 있는 것을 나타낸다. 이 경우, 안전 PLC(300)는 이상 상태를 나타내는 인터록 신호를 출력한다. 덮개체(302)의 상태가 ‘ON(개구)’으로 되어 있는지 ‘OFF(폐구)’로 되어 있는지는 도 2의 센서(S1)로부터 송출된 출력 신호에 의해 수시로 갱신된다.
‘GV Open(1.1) == ON’은 게이트 밸브(370)의 상태가 기억된 어드레스 ‘1’의 1 비트째가 ON(즉, 개구)으로 되어 있는 경우, 안전 PLC(300)는 이상 상태를 나타내는 인터록 신호를 출력한다. 게이트 밸브(370)의 상태가 ON(개구)인지 OFF(폐구)인지는 도 2의 센서(S2)로부터 송출된 출력 신호에 의해 수시로 갱신된다.
‘DRP Alarm(2.1) == ON’은 드라이 펌프 DRP(356)의 경보 장치의 상태가 기억된 어드레스 ‘2’의 1 비트째가 ON으로 되어 있는(즉, 알람이 발생함) 경우, 안전 PLC(300)는 이상 상태를 나타내는 인터록 신호를 출력한다. 드라이 펌프 DRP(356)의 경보 장치의 상태가 ON(알람이 발생함)인지 OFF(알람이 발생하지 않음)인지는 도 2의 센서(S3)로부터 송출된 출력 신호에 의해 수시로 갱신된다.
‘Work Status(1.2) == ON’은 기판(G)의 정전 흡착 상태가 기억된 어드레스 ‘1’의 2 비트째가 ON으로 되어 있는(제전(除電)되어 있음, 즉 기판(G)이 정전 흡착되어 있지 않음) 경우, 안전 PLC(300)는 이상 상태를 나타내는 인터록 신호를 출력한다. 기판(G)의 정전 흡착 상태가 ON(제전되어 있음)인지 OFF(정전 흡착되어 있음)인지는 도 2의 센서(S4)로부터 송출된 출력 신호에 의해 수시로 갱신된다.
‘Vacuum Sensor <= 100 mTorr’는 처리실 내의 진공 상태가 기억된 어드레스 ‘10’의 16 비트가 100 mTorr 이하이면, 안전 PLC(300)는 이상 상태를 나타내는 인터록 신호를 출력한다. 처리실 내의 진공 상태가 100 mTorr 이하인지 아닌지는 도 2의 센서(S5)로부터 송출된 출력 신호에 의해 수시로 갱신된다.
이상으로 일례를 설명한 바와 같이, 안전 PLC(300)는 미리 정해진 소정의 인터록 조건 중 적어도 어느 1 개를 충족시킨 경우, 이상 상태를 나타내는 인터록 신호를 출력한다. 소정의 인터록 조건을 충족시키지 않은 경우에는 정상 상태를 나타내는 인터록 신호가 출력되어도 좋다.
[연동 / 비연동 제어]
도 4에 도시한 바와 같이, 프로세스 모듈(PM)에 동종의 복수의 기기(클러스터(1 ~ 4))가 설치되어 있을 때, 각 기기는 서로 연동하여 동작할지 또는 비연동으로 동작할지를 선택 가능한 경우가 있다. 이 경우, 연동으로 선택된 복수의 기기는 제어 신호에 따라 연동하여 동일한 동작을 실행한다(클러스터 제어). 구체적으로는, 클러스터(1)에 내장된 마스터측 마이크로 컴퓨터 MPU(Micro Processing Unit)로부터 클러스터(2)의 슬레이브측의 MPU로 제어 신호가 전달되고, 클러스터(2)의 슬레이브측의 MPU로부터 클러스터(3)의 슬레이브측의 MPU로 제어 신호가 전달되어 연동된 동일 동작이 가능해진다. 한편, 비연동으로 선택된 기기는 현 상태를 유지한다. 즉, 클러스터(3)의 슬레이브측의 MPU로부터 클러스터(4)의 슬레이브측의 MPU로는 제어 신호가 전달되지 않거나 또는 제어 신호가 전달되어도 이에 따르지 않는다. 그 결과, 비연동의 클러스터(4)는 현 상태를 유지한다.
복수의 동종의 기기(클러스터(1 ~ 4))의 일례로는 도 2에 도시한 APC1 ~ APC4를 들 수 있다. 예를 들면, 도 6의 a에 도시한 바와 같이 통상 운전 시(예를 들면, 초기 시) 모든 APC1 ~ APC4의 밸브체가 개방인 것으로 한다. 또한, 안전 PLC(300)로부터 이상을 나타내는 인터록 신호는 송출되고 있지 않다(인터록 신호 = 노멀). 이때, 도 6의 b에 도시한 바와 같이, 상위 PC(100)로부터 차단할 것을 지시하는 시리얼 신호(제어 신호)가 송신되면, 연동 상태에 있는 APC1 ~ APC3의 MPU는 이에 따라 연동하여 밸브체를 차단으로 한다. 한편, 비연동 상태에 있는 APC4의 MPU는 이에 따르지 않고 그 밸브체를 개방인 채로 유지한다. 이와 같이 하여 연동 또는 비연동의 설정에 의해, 예를 들면 프로세스 모듈(PM3)의 내측(APC4측)은 충분히 배기되고 앞측(APC1 ~ 3측)은 배기되지 않는 등 동종의 복수의 기기가 일률적이지 않은 처리를 행할 수 있다.
그러나, 제어 신호에 대한 상기 연동 / 비연동의 기능이 인터록 신호에 대해서도 동일하게 발휘된다고 하면 다음과 같은 문제가 발생한다. 예를 들면, 덮개체(302)가 열려 있는 경우, 센서(S1)가 이를 검지하고, 안전 PLC(300)는 소정의 인터록 조건을 충족시켰다고 판단하여 차단을 나타내는 인터록 신호를 송출한다(도 7의 b: 인터록 신호 = 인터록(클로즈)). 인터록 신호가 입력되면, 연동하는 복수의 APC1 ~ APC3의 MPU는 안전 PLC(300)로부터 출력된 인터록 신호에 따라 밸브체를 차단으로 제어하지만, 비연동으로 선택된 APC4의 MPU는 인터록 신호에 따르지 않고 밸브체를 개방인 채로 유지한다. 이에 따르면, 안전 PLC(300)로부터의 지시에 따라 사고를 회피하고자 하는 긴급 시에도 비연동의 기기를 인터록 신호에 기초하여 강제적으로 동작시킬 수 없다. 이와 같이 인터록 기능이 불충분하면 안전면에서의 신속한 대응이 방해 받아 시스템이 위험한 상태가 될 우려가 있다. 또한, 이에 의해 시스템이 다운되거나 가동 상황이 불안정한 상태가 되면, 처리실 내를 원하는 분위기로 유지할 수 없게 되어 기판 처리된 결과물이 제품으로서의 가치를 이룰 수 없어져 스루풋을 저하시키고, 시스템의 생산성을 저하시킨다. 또한, 시스템 관리 책임자의 부담도 커진다.
따라서, 본 실시예에서는, 도 7의 a에 도시한 바와 같이, 안전 PLC(300)가 이상을 발신한 경우, 연동 또는 비연동에 관계없이 인터록 신호에 기초하여 동종의 복수의 기기를 동일하게 동작시킨다. 이에 따라, 비연동의 APC4도 밸브체를 차단으로 할 수 있어 안전 PLC(300)의 지시에 따른 안전면에서의 신속한 대응이 확보되고, 시스템의 가동 상황이 안정되어, 스루풋 및 생산성을 향상시킬 수 있다.
또한, 종래에는 소정의 인터록 조건을 충족시킨 인터록 신호가 출력되고 있는 동안(이상 시)에도 동종의 복수의 기기는 제어 장치로부터 출력된 제어 신호에 따라 연동하여 동작하고 있었다. 예를 들면, 도 8의 b에 도시한 바와 같이, 안전 PLC(300)가 이상 시에 차단을 나타내는 인터록 신호를 송출하고 있는 동안에도, 상위 PC(100)로부터 개방 지령을 나타낸 시리얼 신호(제어 신호)가 송출되면, 이에 따라 APC1 ~ APC3의 MPU는 연동하여 밸브체를 개방한다. 이에 따르면, 이상 시에도 인터록 신호의 지령이 제어 신호의 지령으로 덮어쓰여 그 일부가 무효로 되기 때문에, 안전 관리가 충분하지 않아져 시스템이 위험한 상태가 될 우려가 있다.
따라서, 본 실시예에서는, 도 8의 a에 도시한 바와 같이, 안전 PLC(300)로부터 소정의 인터록 조건을 충족시킨 인터록 신호가 출력되고 있는 동안, APC1 ~ APC4의 MPU는 상위 PC(100)로부터 출력된 제어 신호를 무효로 하고 인터록 신호의 지시에 따른 동작을 유지한다. 이에 따라, 이상을 나타내는 인터록 신호가 출력되고 있는 동안 APC1 ~ APC4의 밸브체를 차단으로 유지할 수 있어, 안전이 담보되고 시스템의 가동 상황이 안정되어 스루풋 및 생산성을 향상시킬 수 있다.
또한, 이상 설명한 APC1 ~ APC4의 기능은 실제로는 APC1 ~ APC4에 각각 내장된 MPU가 이들 기능을 실현하는 처리 순서를 기술한 프로그램을 기억한 기억 영역으로부터 필요한 프로그램을 독출하고, 이 프로그램을 해석하여 실행함으로써 달성된다.
[APC의 동작]
이어서, 이상 설명한 복수의 동종의 기기로서 APC1 ~ APC4를 예로 들어 각 APC의 MPU의 동작에 대해 도 9의 순서도를 참조하여 설명한다. 도 9는 시리얼 신호 / 인터록 신호 처리를 나타낸 순서도이다.
[시리얼 신호 / 인터록 신호 처리]
본 처리는 소정 시간 경과마다 기동되며, 단계(S900)부터 개시된다. APC의 마스터측의 MPU는 단계(S905)로 진행되어 시리얼 신호를 수신하였는지를 판정한다. 수신한 경우, MPU는 단계(S910)로 진행되어 인터록 신호가 정상을 나타내고 있는지(노멀)를 판정한다. 인터록 신호가 노멀인 경우 단계(S915)로 진행되어, MPU는 연동이 선택된 APC인지를 판정한다. 연동이 선택되어 있는 경우 단계(S920)로 진행되어 시리얼 신호의 지시에 따라 동작하고, 단계(S995)로 진행되어 본 처리를 종료한다.
한편, 단계(S915)에서 비연동이 선택되어 있는 경우, 즉시 단계(S995)로 진행되어 본 처리를 종료한다. 이에 따라, 통상시에 연동하는 APC는 시리얼 신호에 기초하여 동일 동작을 연동하여 실행하고, 비연동의 APC는 시리얼 신호에 관계없이 현 상태를 유지한다.
그러나, S910에서 인터록 신호가 인터록(이상)을 출력한 경우, 단계(S925)로 진행되어 각 APC의 MPU는 APC1 ~ APC4의 연동, 비연동 상태에 관계없이 인터록 신호의 지시에 따라 강제적으로 동일한 동작을 실행하고, 그 후 단계(S995)로 진행되어 본 처리를 종료한다. 이와 같이 하여, 이상 시에는 연동 또는 비연동에 관계없이 인터록 신호에 기초하여 안전 동작이 우선되기 때문에, 사고 등을 미연에 방지할 수 있다. 또한, 단계(S905)에서 시리얼 신호를 수신하지 않은 경우에는, 아무것도 처리하지 않고 단계(S995)로 진행되어 즉시 본 처리를 종료한다.
[메인터넌스 화면]
예를 들면, 도 1의 상위 PC(100) 또는 하위 PC(200) 등의 디스플레이(표시 장치에 상당)에는 도 10 ~ 도 12에 도시한 메인터넌스 화면이 표시된다. 도 10은 통상 운전 중에 연동 동작하고 있는 경우의 메인터넌스 화면을 도시하고 있다. 도 10에서는 APC1 ~ APC4 모두가 연동하여, 각 밸브체의 개방도는 개방(100%)으로 되어 있다. 이는, 단계(S915)에서 모든 APC가 연동하고 있다고 판단된 경우, 단계(S920)에서 시리얼 신호의 지시에 따라 모든 APC의 밸브체를 개방(100%)으로 한 경우 등에 표시된다.
도 11은 APC1, APC3, APC4가 연동하고 APC2가 비연동인 경우의 메인터넌스 화면을 도시하고 있다. 도 11에서는 APC1, APC3, APC4의 각 밸브체의 개방도는 모두 개방(100%)으로 되어 있는데 반해, APC2의 밸브체의 개방도는 50%로 되어 있어, APC2의 동작은 다른 APC의 동작에 연동하고 있지 않다는 것을 알 수 있다. 이는, 단계(S915)에서 APC1, APC3, APC4가 연동하고 있다고 판단된 경우, 단계(S920)에서 시리얼 신호의 지시에 따라 APC1, APC3, APC4의 밸브체를 개방(100%)으로 한 경우 등에 표시된다. 이 경우, APC2의 밸브체는 현 상태를 유지(50%)한 상태를 표시한다.
도 12는 인터록 신호가 인터록(클로즈)을 지령한 경우의 메인터넌스 화면을 도시하고 있다. 종래에 인터록(이상)이 발생한 경우에도 연동, 비연동을 고려한 제어가 행해지고 있었다. 이 때문에, 도 13에 도시한 바와 같이, 인터록 신호가 인터록(클로즈)을 지령한 경우의 종래의 메인터넌스 화면에서는 비연동을 선택한 APC2는 이상 사태임에도 불구하고 인터록 신호의 지시에 따르지 않고 현 상태를 유지(50%)하고 있었다.
그러나, 도 12에서는 APC1 ~ APC4의 각 밸브체의 개방도는 모두 차단(0%)으로 되어 있다. 이는, 단계(S910)에서 인터록 신호가 노멀이 아니라고 판단되어, 단계(S925)에서 APC1 ~ APC4의 연동, 비연동에 관계없이 인터록 신호의 지시에 따라 APC1 ~ APC4의 밸브체를 모두 차단(0%)으로 한 경우 등에 표시된다. 또한, 스테이터스는 ‘알람’을 표시하여 이상 사태임을 오퍼레이터에 경고하고 있다.
이와 같이, 본 실시예에서 메인터넌스 화면에는 소정의 인터록 조건을 충족시킨 인터록 신호가 출력되고 있는 동안, 동종의 복수의 기기 중 비연동 상태의 기기도 연동 상태의 기기와 연동하여 동작하고 있는 상태가 표시된다. 이에 따라, 모든 클러스터 기기가 안전 PLC(300)의 제어에 기초하여 인터록 제어되고 있다는 것을 확인할 수 있다.
[해제 처리]
마지막으로, 이상 사태가 해소된 후의 해제 처리에 대하여 설명한다. 소정의 인터록 조건을 충족시킨 인터록 신호가 해제된 경우(인터록 신호 = 노멀), APC1 ~ APC4의 MPC는 상위 PC(100)로부터 출력된 시리얼 신호를 유효로 하고, 시리얼 신호의 지시에 따라 연동 상태가 선택되어 있는 APC만 연동하여 동작한다. 이는 도 9의 단계(S915) 및 단계(S920)를 실행함으로써 달성된다. 그 결과, 메인터넌스 화면에는 도 10 또는 도 11에 도시한 바와 같은 통상 운전 시의 연동, 비연동 상태가 표시된다.
이상 설명한 바와 같이, 본 실시예에 따르면, 동종의 복수의 기기에 장착된 센서가 이상을 검출하고, 이 동종의 기기 중 어느 하나가 소정의 인터록 조건을 충족시켰다고 판단된 경우, 이상을 나타내는 인터록 신호가 출력된다. 출력된 인터록 신호에 대하여, 동종의 복수의 기기는 연동 또는 비연동에 관계없이 상기 인터록 신호의 지시에 따라 연동하여 동작한다. 이에 따라, 비연동의 기기가 있어도 동종의 복수의 기기 모두가 강제적으로 인터록 신호의 지시에 따른 동작을 실행한다. 그 결과, 모든 기기에 인터록의 기능이 반영되어 안전면에서의 신속한 대응을 확보할 수 있다. 이에 따라, 시스템 다운을 회피하여 스루풋을 향상시킬 수 있고, 시스템 관리자의 부담을 경감시킬 수 있다.
또한, 이에 따르면 클러스터 구조를 가지는 모든 기기를 안전 PLC(300)에 케이블로 접속시킬 필요가 없다. 즉, 본 실시예의 신호 처리는 현 상태의 각 기기의 배치 또는 접속 관계를 변경하지 않고 기존의 기판 처리 시스템의 하드웨어 구성을 그대로 이용하여, 소프트웨어(프로그램)를 변경하는 것만으로 실현될 수 있다. 이 때문에, 기존 시스템으로의 적용이 용이하고, 케이블의 변경이 불필요하여 배선이 절약된다.
(제 2 실시예)
이상, 제 1 실시예에서는 배기 수단에 APC 밸브를 이용한 경우의 인터록 제어에 대하여 설명하였다. APC 밸브는 셧 오프 밸브 기능이 구비된 압력 제어 밸브이며, 셧 오프 밸브와 압력 제어 밸브가 일체화되어 있다. 도 14는 셧 오프 밸브와 압력 제어 밸브가 일체화된 경우(APC 밸브의 경우)의 프로세스 모듈의 모식도이다. 도 15는 셧 오프 밸브와 압력 제어 밸브가 일체화된 경우(APC 밸브의 경우)의 인터록 신호의 입력의 일례를 도시한 도면이다. 도 16은 셧 오프 밸브와 압력 제어 밸브가 일체화된 경우(APC 밸브의 경우)의 인터록 신호의 입력의 다른 예를 도시한 도면이다.
도 14에 도시한 바와 같이, 압력계(705)는 수시로 챔버 내의 압력을 검출하여 압력 모니터치를 출력한다. 챔버(C)(처리실)는 압력 모니터치에 기초하여 플로우 콘트롤러(710)에 의해 제어되는 가스 유량에 따라 그 내부가 타겟 압력치가 되도록 APC 밸브의 개방도를 제어한다. 이에 따라, 챔버(C) 내를 압력 제어할 수 있다.
인터록 발생 조건이 성립되었을 때, 인터록 신호(클로즈)선은, 도 15에 도시한 바와 같이, 각각의 APC 밸브에 연속적으로 접속되어도 좋고, 도 16에 도시한 바와 같이, 각각의 APC 밸브에 각각 접속되어도 좋다.
제 2 실시예의 배기 수단에서는, 도 17에 도시한 바와 같이, 셧 오프 밸브(805)와 압력 제어 밸브(810)가 별체로 되어 있다. 도 17은 셧 오프 밸브와 압력 제어 밸브가 별체인 경우의 프로세스 모듈의 모식도이다.
이와 같이, 제 2 실시예에서, 밸브체는 셧 오프 밸브(805) 및 압력 제어 밸브(810)를 포함하며 기판 처리 장치의 배기측에 배치되어 있다. 또한, 각 밸브체는 병렬로 배치되어 있다. 압력 제어 밸브(810)는 셧 오프 밸브(805)에 대하여 연동 모드와 비연동 모드를 가지고 있다. 압력 제어 밸브(810)는 소정의 인터록 조건을 충족시켰다고 판단된 경우, 연동 또는 비연동에 관계없이 인터록 신호의 지시에 따라 연동하여 동작한다.
도 18에서는 셧 오프 밸브(805)와 압력 제어 밸브(810)가 별체인 경우의 신호 입력의 일례를 도시한다. 압력계(705)는 수시로 챔버 내의 압력을 검출하여 압력 모니터치를 출력한다. 제 2 실시예의 경우에도 압력 모니터치에 기초하여 챔버(C) 내가 타겟 압력치가 되도록 압력 제어(압력 제어 밸브 1의 개방도 조정)가 행해진다. 이 경우, 도 18 및 도 19에서 도시한 마스터측의 압력 제어 밸브 1에서 압력의 조정치가 결정된다. 슬레이브측의 압력 제어 밸브 2, 3, 4는 압력 제어 밸브 1로부터 지시된 개방도로 압력 제어 밸브 2, 3, 4의 개방도 조정을 각각 행한다. 이와 같이 하여, 압력 제어 밸브 1에 추종하여 압력 제어 밸브 2, 3, 4를 압력 제어함으로써, 밸브 간의 개방도 또는 제어의 차이가 없이 압력의 발진을 억제할 수 있다. 이에 따라, 챔버(C) 내를 원하는 압력으로 안정적으로 제어할 수 있다.
도 18은 셧 오프 밸브(805)와 압력 제어 밸브(810)가 별체인 경우의 신호 입력의 일례를 도시한다. 이 경우, 시리얼 신호 및 압력 모니터치는 압력 제어 밸브 1로만 보내진다. 안전 PLC는 셧 오프 밸브 1 ~ 4로 오픈 또는 클로즈를 지시하기 위한 동작 지시 신호를 송출한다. 셧 오프 밸브 1 ~ 4는 동작 지시 신호에 기초하여 각각 개폐(오픈 또는 클로즈)한다.
인터록 발생 조건이 성립했을 때, 압력 제어 밸브에서 클로즈를 지시하기 위한 인터록 신호를 송출한다. 도 18에서, 인터록 신호는 마스터측의 압력 제어 밸브 1에만 입력된다. 이 경우, 마스터측의 압력 제어 밸브 1은 인터록 신호에 기초하여 압력 제어 밸브 1을 클로즈하고, 인터록 신호를 슬레이브측의 압력 제어 밸브 2 ~ 4로 전송하여 압력 제어 밸브 2 ~ 4를 클로즈시킨다.
도 19는 셧 오프 밸브(805)와 압력 제어 밸브(810)가 별체인 경우의 신호 입력의 다른 예를 도시한다. 이 경우에도 시리얼 신호 및 압력 모니터치는 압력 제어 밸브 1로만 보내진다. 한편, 동작 지시 신호는 모든 셧 오프 밸브 1 ~ 4로 보내진다. 또한, 인터록 신호는 모든 압력 제어 밸브 1 ~ 4로 보내져 압력 제어 밸브 1 ~ 4를 각각 클로즈시킨다. 인터록 신호는 셧 오프 밸브 1 ~ 4로도 보내져 셧 오프 밸브 1 ~ 4를 각각 클로즈시킨다.
인터록 발생 조건이 성립했을 때, 셧 오프 밸브 1 ~ 4와 압력 제어 밸브 1 ~ 4 모두를 닫는 편이 셧 오프 밸브 1 ~ 4 및 압력 제어 밸브 1 ~ 4의 다음 동작 시를 고려했을 때 바람직하다. 그러나, 인터록 발생 시, 셧 오프 밸브 1 ~ 4를 클로즈하는 안전 처리만으로 대응해도 좋고, 압력 제어 밸브1 ~ 4를 클로즈하는 안전 처리만으로 대응해도 좋다.
또한, 압력 제어 밸브(810)의 기능으로는 (1) 풀 클로즈(차단) 상태인 채로 동작하지 않는 경우(비연동), (2) 풀 오픈(개방) 상태인 채로 동작하지 않는 경우(비연동), (3) 개방도 제어하여 어느 일정한 개방도로 고정시키는 경우(비연동), (4) 압력 제어하여 압력계를 보고 압력을 일정하게 유지하도록 자동으로 밸브의 개폐를 하는 경우(연동)의 4 개의 패턴이 있다. 인터록 발생 시에는 압력 제어 밸브 1 ~ 4의 연동 / 비연동에 관계없이 모든 압력 제어 밸브 1 ~ 4를 인터록 신호에 따라 클로즈한다.
압력 제어 밸브(810)의 4 개의 기능은, 예를 들면 일부 풀 오픈으로 하고 나머지를 압력 제어하는 경우, 일부 개방도 제어하고 나머지를 압력 제어하는 경우, 일부 풀 클로즈로 하고 나머지를 압력 제어하는 경우 등 다양한 조합이 생각된다. 여기서 일부 풀 클로즈의 경우, 완전히 밸브를 클로즈하지 않고 1% 정도로 개방도 제어함으로써 진애의 체류 또는 씰링부의 고착을 방지 가능해진다.
챔버가 커져 가면 다수의 셧 오프 밸브 및 압력 제어 밸브가 필요해진다. 따라서, 밸브의 어디를 사용하고 어디를 사용하지 않도록 할지를 세세하게 제어하면 챔버 내의 분위기를 정밀하게 제어할 수 있다.
(대유량 시)
예를 들면, 대유량 시의 운용예를 도 20에 도시한다. 대유량 시에는 설치되어 있는 모든 셧 오프 밸브(805) 및 압력 제어 밸브(810)를 이용해 연동하여 압력 제어한다. 즉, 대유량 시에, 셧 오프 밸브(805)는 모두 오픈되어 있고, 압력 제어 밸브(810)는 압력계(705)의 압력 모니터치에 기초하여 챔버 내가 압력 타겟치가 되도록 모든 압력 제어 밸브(810)의 개방도를 조정함으로써 압력 제어를 행한다.
인터록 발생 조건이 성립했을 때에는, 클로즈의 인터록 신호가 마스터측의 압력 제어 밸브(810)에 입력되어, 마스터측의 압력 제어 밸브(810)의 개방도를 차단시키고, 마스터측의 압력 제어 밸브(810)로부터 3 개의 슬레이브측의 압력 제어 밸브(810)로 차단을 지시하는 신호를 보냄으로써 연동하는 3 개의 슬레이브측의 압력 제어 밸브(810)의 개방도를 차단시킨다. 또한, 클로즈의 동작 지시 신호가 모든 셧 오프 밸브(805)에 입력되어, 모든 셧 오프 밸브(805)를 닫힘 상태로 한다. 이에 따라, 대유량 시에, 통상 상태에서는 모든 셧 오프 밸브(805) 및 압력 제어 밸브(810)를 오픈으로 하여 챔버를 압력 제어하고, 인터록 발생 조건이 성립했을 때에는 모든 셧 오프 밸브(805) 및 압력 제어 밸브(810)를 차단 상태로 하여 동작을 강제 종료한다. 또한, 인터록 발생 시, 압력 제어 밸브(810)에만 인터록 신호가 입력되고 셧 오프 밸브(805)에 인터록 신호가 입력되지 않는 경우에는, 셧 오프 밸브(805)는 열림 상태인 채로 된다.
(중유량 시)
중유량 시의 운용예로는, 도 21에 도시한 바와 같이, 설치되어 있는 압력 제어 밸브(810) 중 일부는 연동하여 압력 제어하고, 나머지는 비연동으로 하여, 예를 들면 1%의 개방도로 고정시킨다. 통상 동작 시에는 동작 지시 신호에 따라 모든 셧 오프 밸브(805)가 열림 상태로 되어 있다.
이 경우, 도 22의 파선 영역(N)으로 도시한 압력 제어 밸브(810)는 그 이외의 압력 제어 밸브(810)와 연동하여 동작하고 있지 않다. 그러나, 인터록 발생 조건이 성립했을 때에는 파선 영역(N)으로 도시한 압력 제어 밸브(810)도 나머지 압력 제어 밸브(810)에 연동하여 안전 처리(클로즈)할 필요가 있다.
따라서, 인터록 발생 조건이 성립했을 때에는, 도 23에 도시한 바와 같이, 압력 제어 중인 2 개의 압력 제어 밸브(810)를 클로즈의 인터록 신호에 따라 차단 상태로 하고, 개방도를 1%로 고정시킨 비연동의 2 개의 압력 제어 밸브(810)도 마스터측의 압력 제어 밸브(810)의 지시에 따라 강제적으로 차단 상태로 하여 동작을 강제 종료한다.
또한, 인터록 발생 조건 시, 압력 제어 밸브(810)로 인터록 신호를 보내지 않고 클로즈의 인터록 신호(동작 지시 신호)를 모든 셧 오프 밸브(805)로 보내 모든 셧 오프 밸브(805)를 클로즈시키도록 해도 좋다. 단, 다음 동작 또는 안전면을 고려하면, 전술한 바와 같이 압력 제어 밸브(810)를 차단 상태로 하고, 모든 셧 오프 밸브(805)도 클로즈시키는 편이 바람직하며, 적어도 압력 제어 밸브(810)만은 강제적으로 차단 상태로 하는 편이 좋다.
(소유량 시)
소유량 시의 운용예로는, 도 24에 도시한 바와 같이, 설치되어 있는 압력 제어 밸브(810) 중 중유량 시보다 더 적은 1 개의 압력 제어 밸브(810)만을 압력 제어하고, 나머지 3 대는 비연동으로 하여, 예를 들면 1%의 개방도로 고정시킨다. 통상 동작 시에는, 동작 지시 신호에 따라 셧 오프 밸브(805)는 열림 상태로 되어 있다.
이 경우, 도 25의 파선 영역(N)으로 도시한 압력 제어 밸브(810)는 그 이외의 압력 제어 밸브(810)와 연동하여 동작하고 있지 않다. 그러나, 소유량 시에도 인터록 발생 조건이 성립했을 때에는 파선 영역(N)으로 도시한 압력 제어 밸브(810)를 안전 처리(클로즈)할 필요가 있다.
따라서, 인터록 발생 조건이 성립했을 때에는, 도 26에 도시한 바와 같이, 압력 제어 중인 마스터측의 압력 제어 밸브(810)를 클로즈의 인터록 신호에 따라 차단 상태로 하고, 개방도를 1%로 고정시킨 비연동의 3 개의 압력 제어 밸브(810)도 마스터측의 압력 제어 밸브(810)의 지시에 따라 강제적으로 차단 상태로 하여 동작을 강제 종료한다.
이상, 제 2 실시예에 따른 셧 오프 밸브(805)와 압력 제어 밸브(810)가 별체인 경우의 안전 처리에 대하여 설명하였다. 이에 따르면, 대유량 시, 중유량 시, 소유량 시의 경우에 압력 제어 밸브(810)에 가동 조건의 차이가 있어도 인터록 발생 조건이 성립했을 때에는 모든 압력 제어 밸브(810)를 안전 처리(클로즈)할 수 있다.
또한, 일체인 경우(APC 밸브의 경우)의 대유량 시, 중유량 시, 소유량 시의 안전 처리는 별체인 경우의 안전 처리와 기본적으로 동일하다. 예를 들면, APC 밸브의 경우, 소유량 시, 도 27에 도시한 바와 같이, 2 개의 APC1 및 APC2는 연동하여 압력 제어하고, 나머지 APC3 및 APC4는 비연동으로 하여, 예를 들면 차단 상태로 고정시킨다.
소유량 시, 도 28에 도시한 바와 같이, 2 개의 APC1 및 APC2는 연동하여 압력 제어하고, 나머지는 비연동으로 하여, 예를 들면 1%의 개방도로 고정시키도록 해도 좋다. 이 경우, 도 29의 파선 영역(N)으로 도시한 APC3 및 APC4는 APC1 및 APC2와 연동하여 동작하고 있지 않다. 그러나, 인터록 발생 조건이 성립했을 때에는 파선 영역(N)으로 도시한 APC 밸브를 안전 처리(클로즈)할 필요가 있다.
따라서, 인터록 발생 조건이 성립했을 때에는, 연동하는 APC1 및 APC2를 클로즈의 인터록 신호에 따라 차단 상태로 할 뿐만 아니라, 비연동의 APC3 및 APC4도 차단 상태로 하여 동작을 강제 종료한다.
이상 각 실시예에 따른 시스템에 따르면, 소프트 인터록 장치가 이상을 발신한 경우, 연동 또는 비연동에 관계없이 인터록 신호에 기초하여 동종의 복수의 기기를 제어할 수 있다. 이에 따라, 안전 처리를 순조롭게 행할 수 있다.
제 1 실시예에서 나타낸 APC 밸브도, 제 2 실시예에서 나타낸 셧 오프 밸브와 압력 제어 밸브가 별체로 된 밸브도, 기판 처리 장치에 설치되는 셧 오프 기능을 구비한 밸브의 일례이다. 상기 밸브는 상기 기판 처리 장치에 복수 설치되어 있어도 좋으며, 이 경우 상기 밸브는 병렬로 배치되어 있어도 좋다. 또한, 상기 밸브는 상기 기판 처리 장치의 배기측에 설치되어 있어도 좋다.
또한, 제 2 실시예의 경우에도 제 1 실시예와 마찬가지로, 인터록 신호 발생 중에는 마스터 / 슬레이브, 연동 / 비연동 상태에 관계없이 시리얼 통신으로부터의 지령은 무시되고, 시스템의 문제가 해소될 때까지 통상적인 동작은 행해지지 않도록 되어 있다.
상기 실시예에서 각 부의 동작은 서로 관련되어 있어, 서로의 관련을 고려하여 일련의 동작으로서 치환할 수 있고, 이에 따라 기판 처리 시스템의 실시예를 당해 기판 처리 시스템을 이용한 기판 처리 방법의 실시예로 할 수 있다. 또한, 상기 기판 처리 시스템의 동작을 기판 처리 시스템의 기능을 실현하기 위한 처리와 치환함으로써, 기판 처리 시스템의 실시예를 기판 처리 시스템의 기능을 컴퓨터에 실행시키기 위한 프로그램을 기억한 기억 매체의 실시예로 할 수 있다. 또한, 기판 처리 시스템의 기능을 컴퓨터에 실행시키기 위한 프로그램은 기억 매체에 기억되어 있을 뿐만 아니라 네트워크 등을 통해 송신되도록 해도 좋다.
이상, 첨부 도면을 참조하여 본 발명의 적합한 실시예에 대해 설명하였으나, 본 발명은 이러한 예에 한정되지 않는다는 것은 말할 필요도 없다. 당업자라면 청구의 범위에 기재된 범주 내에서 각종 변경예 또는 수정예를 도출해낼 수 있다는 것은 자명하며, 이들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
예를 들면, 본 발명에 따른 기판 처리 장치에 설치되는 동종의 복수의 기기는 APC 밸브에 한정되지 않으며, 클러스터 구조를 가지는 복수의 동종의 기기로서 연동 또는 비연동을 선택 가능한 기기이면 된다.
또한, 본 발명에 따른 플라즈마 처리 장치는 대면적의 글라스 기판, 원형의 실리콘 웨이퍼 또는 각형의 SOI(Silicon On Insulator) 기판을 처리할 수도 있다.
또한, 본 발명에 따른 기판 처리 장치로는 에칭 장치, CVD 장치 등 외에 코터 디벨로퍼, 세정 장치, CMP(Chemical Mechanical Polishing: 화학적 기계적 연마) 장치, PVD(Physical Vapor Deposition: 물리 기상 성장법) 장치, 노광 장치, 이온 임플랜터 등이 있다.
상기 실시예에서는 편의상 4 개의 APC 밸브 또는 4 개의 셧 오프 밸브와 4 개의 압력 제어 밸브를 이용하여 대유량 시, 중유량 시, 소유량 시의 경우에 대해 설명하였으나, APC 밸브의 수, 셧 오프 밸브의 수, 압력 제어 밸브의 수는 4 개에 한정되지 않으며 챔버의 크기에 따라 적절하게 정해진다. 또한, 압력 제어 밸브의 제어 방법도 일례이며, 챔버의 크기에 따라 압력 제어 밸브의 위치와 제어 방법을 변경할 수 있다.
본 발명에 따른 기판 처리 시스템은 반도체 제조 장치, FPD(Flat Panel Display), 태양 전지 제조 장치, 유기 EL 장치 등의 장치에 적용 가능하다.
10: 기판 처리 시스템
100: 상위 PC
200: 하위 PC
300: 안전 PLC
302: 덮개체
310: 인터록 조건 테이블
354: APC 밸브
356: 드라이 펌프 DRP
358: 터보 몰레큘러 펌프 TMP
370: 게이트 밸브
400: 네트워크
500: LAN
600: 호스트 컴퓨터
705: 압력계
710: 플로우 콘트롤러
805: 셧 오프 밸브
810: 압력 제어 밸브

Claims (13)

  1. 기판 처리 장치를 제어하기 위한 제어 신호를 출력하는 제어 장치와, 소정의 인터록 조건을 충족시키는 경우 인터록 신호를 출력하는 소프트 인터록 장치를 구비하는 기판 처리 시스템으로서,
    상기 기판 처리 장치에는 동종의 복수의 기기가 설치되고, 상기 동종의 복수의 기기는 서로 연동하여 또는 비연동으로 동작하도록 기기마다 연동 또는 비연동 중 어느 한 상태가 선택되며,
    상기 소프트 인터록 장치는, 상기 동종의 복수의 기기가 미리 정해진 소정의 인터록 조건을 충족시켰다고 판단한 경우, 상기 동종의 복수의 기기 중 어느 하나에 인터록 신호를 출력하고,
    상기 동종의 복수의 기기 중 어느 하나가 상기 인터록 신호를 입력 받은 경우, 상기 동종의 복수의 기기는 연동 또는 비연동에 관계없이 상기 인터록 신호의 지시에 따라 연동하여 동작하는 기판 처리 시스템.
  2. 제 1 항에 있어서,
    상기 소정의 인터록 조건을 충족시킨 인터록 신호가 출력되고 있는 동안, 상기 동종의 복수의 기기는 상기 제어 장치로부터 출력된 제어 신호를 무효로 하고, 상기 인터록 신호의 지시에 따른 연동 동작을 유지하는 기판 처리 시스템.
  3. 제 1 항에 있어서,
    상기 소정의 인터록 조건을 충족시킨 인터록 신호가 출력되고 있는 동안, 상기 동종의 복수의 기기 중 비연동 상태의 기기도 연동 상태의 기기와 연동하여 동작하고 있는 상태를 표시하는 표시 장치를 구비하는 기판 처리 시스템.
  4. 제 1 항에 있어서,
    상기 소정의 인터록 조건을 충족시킨 인터록 신호가 해제된 경우, 상기 동종의 복수의 기기는 상기 제어 장치로부터 출력된 제어 신호를 유효로 하고, 상기 제어 신호의 지시에 따라 상기 연동 상태가 선택되어 있는 기기를 연동하여 동작하는 기판 처리 시스템.
  5. 제 4 항에 있어서,
    상기 표시 장치는,
    상기 소정의 인터록 조건을 충족시킨 인터록 신호가 해제된 경우, 상기 동종의 복수의 기기의 연동 또는 비연동 상태를 표시하는 기판 처리 시스템.
  6. 제 1 항에 있어서,
    상기 동종의 복수의 기기는 상기 기판 처리 장치에 배치된 복수의 자동 압력 조정기인 기판 처리 시스템.
  7. 제 1 항에 있어서,
    상기 동종의 복수의 기기는 상기 기판 처리 장치에 별체로 배치된 셧 오프 밸브 및 압력 제어 밸브이며,
    셧 오프 밸브 및 압력 제어 밸브 중 적어도 어느 하나는, 연동 또는 비연동에 관계없이 소정의 인터록 조건을 충족시켰다고 판단된 경우, 상기 인터록 신호의 지시에 따라 연동하여 동작하는 기판 처리 시스템.
  8. 기판 처리 장치를 제어하기 위한 제어 신호를 출력하는 제어 장치와, 소정의 인터록 조건을 충족시키는 경우 인터록 신호를 출력하는 소프트 인터록 장치를 구비하는 기판 처리 시스템을 이용한 기판 처리 방법으로서,
    상기 기판 처리 장치에는 동종의 복수의 기기가 설치되고, 상기 동종의 복수의 기기가 서로 연동하여 또는 비연동으로 동작하도록 기기마다 연동 또는 비연동 중 어느 한 상태를 선택하며,
    상기 소프트 인터록 장치에 의해 상기 동종의 복수의 기기가 미리 정해진 소정의 인터록 조건을 충족시켰다고 판단된 경우, 상기 소프트 인터록 장치로부터 인터록 신호를 출력하고,
    상기 동종의 복수의 기기 중 어느 하나가 상기 인터록 신호를 입력 받은 경우, 연동 또는 비연동에 관계없이 상기 동종의 복수의 기기를 상기 인터록 신호의 지시에 따라 연동하여 동작시키는 기판 처리 방법.
  9. 기판 처리 장치를 제어하기 위한 제어 신호를 출력하는 제어 장치와, 소정의 인터록 조건을 충족시키는 경우 인터록 신호를 출력하는 소프트 인터록 장치를 구비하는 기판 처리 시스템의 기능을 컴퓨터에 실행시키기 위한 프로그램을 기억한 기억 매체로서,
    상기 기판 처리 장치에는 동종의 복수의 기기가 설치되고, 상기 동종의 복수의 기기가 서로 연동하여 또는 비연동으로 동작하도록 기기마다 연동 또는 비연동 중 어느 한 상태를 선택하는 처리와,
    상기 소프트 인터록 장치에 의해 상기 동종의 복수의 기기가 미리 정해진 소정의 인터록 조건을 충족시켰다고 판단된 경우, 상기 소프트 인터록 장치로부터 인터록 신호를 출력하는 처리와,
    상기 동종의 복수의 기기 중 어느 하나가 상기 인터록 신호를 입력 받은 경우, 연동 또는 비연동에 관계없이 상기 동종의 복수의 기기를 상기 인터록 신호의 지시에 따라 연동하여 동작시키는 처리를 컴퓨터에 실행시키는 프로그램을 기억한 기억 매체.
  10. 기판 처리 장치에 설치되는 셧 오프 기능을 구비한 밸브로서,
    상기 밸브는 연동 모드와 비연동 모드를 가지며, 소정의 인터록 조건을 충족시켰다고 판단된 경우, 연동 또는 비연동에 관계없이 상기 인터록 신호의 지시에 따라 연동하여 동작하는 밸브.
  11. 제 10 항에 있어서,
    상기 밸브는 상기 기판 처리 장치에 복수 설치되어 있는 것을 특징으로 하는 밸브.
  12. 제 11 항에 있어서,
    상기 밸브는 병렬로 배치되어 있는 것을 특징으로 하는 밸브.
  13. 제 10 항에 있어서,
    상기 밸브는 상기 기판 처리 장치의 배기측에 설치되어 있는 것을 특징으로 하는 밸브.
KR1020117013451A 2008-12-15 2009-12-14 기판 처리 시스템, 기판 처리 방법 및 프로그램을 기억한 기억 매체 KR20110084318A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008318541 2008-12-15
JPJP-P-2008-318541 2008-12-15

Publications (1)

Publication Number Publication Date
KR20110084318A true KR20110084318A (ko) 2011-07-21

Family

ID=42268771

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117013451A KR20110084318A (ko) 2008-12-15 2009-12-14 기판 처리 시스템, 기판 처리 방법 및 프로그램을 기억한 기억 매체

Country Status (6)

Country Link
US (1) US20110264250A1 (ko)
JP (1) JP5008768B2 (ko)
KR (1) KR20110084318A (ko)
CN (1) CN102246268A (ko)
TW (1) TW201040680A (ko)
WO (1) WO2010071101A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101963856B1 (ko) * 2018-01-03 2019-07-31 (주)유시스템 반도체 제조 공정용 적어도 하나의 가스 챔버의 복수의 밸브 제어 방법 및 시스템

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2700081B1 (en) * 2011-04-22 2022-11-02 ASML Netherlands B.V. Network architecture for lithography machine cluster
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103050421A (zh) * 2011-10-17 2013-04-17 中芯国际集成电路制造(上海)有限公司 刻蚀控制方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101254598B1 (ko) * 2012-08-23 2013-04-15 주식회사 유디엠텍 Smⅴ를 이용한 plc 제어 프로그램의 인터록 기능 검증방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6310260B2 (ja) * 2014-01-20 2018-04-11 株式会社荏原製作所 基板処理装置内の複数の処理ユニットを調整するための調整装置、および該調整装置を備えた基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104195529B (zh) * 2014-09-28 2016-09-14 上海先进半导体制造股份有限公司 Lpcvd炉管及其主阀联锁装置电路
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104690406A (zh) * 2015-01-11 2015-06-10 沈阳汇能机器人自动化有限公司 机器人焊接安全系统
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016185586A1 (ja) * 2015-05-20 2016-11-24 三菱電機株式会社 情報処理装置及びインタロック制御方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
GB2551517B (en) * 2016-06-20 2020-06-03 Jaguar Land Rover Ltd Software interlock
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN110376932B (zh) * 2018-04-13 2021-05-07 沈阳中科博微科技股份有限公司 一种高诊断覆盖率的功能安全开关量输出模块
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109811323B (zh) * 2019-01-23 2023-09-08 北京北方华创微电子装备有限公司 一种磁控溅射装置和托盘检测方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6618628B1 (en) * 2000-10-05 2003-09-09 Karl A. Davlin Distributed input/output control systems and methods
US6500263B2 (en) * 2001-03-26 2002-12-31 Applied Materials, Inc, Semiconductor substrate processing chamber having interchangeable lids actuating plural gas interlock levels
JP4709423B2 (ja) * 2001-05-16 2011-06-22 アプライド マテリアルズ インコーポレイテッド チャンバ冷却装置および半導体製造装置
JP4571350B2 (ja) * 2001-09-12 2010-10-27 東京エレクトロン株式会社 インターロック機構,インターロック方法および熱処理装置
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6739840B2 (en) * 2002-05-22 2004-05-25 Applied Materials Inc Speed control of variable speed pump
US7723649B2 (en) * 2002-08-05 2010-05-25 Steed Technology, Inc. Vacuum thermal annealer
JP4101166B2 (ja) * 2003-12-11 2008-06-18 大日本スクリーン製造株式会社 基板処理装置
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4252935B2 (ja) * 2004-06-22 2009-04-08 東京エレクトロン株式会社 基板処理装置
US7854821B2 (en) * 2005-06-02 2010-12-21 Tokyo Electron Limited Substrate processing apparatus
US7387083B2 (en) * 2005-12-06 2008-06-17 Life Science Control Corporation Precision watering method and apparatus
US7634320B2 (en) * 2006-02-24 2009-12-15 Tokyo Electron Limited Interlock control apparatus
JP4643469B2 (ja) * 2006-02-24 2011-03-02 東京エレクトロン株式会社 インターロック制御装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101963856B1 (ko) * 2018-01-03 2019-07-31 (주)유시스템 반도체 제조 공정용 적어도 하나의 가스 챔버의 복수의 밸브 제어 방법 및 시스템

Also Published As

Publication number Publication date
US20110264250A1 (en) 2011-10-27
JPWO2010071101A1 (ja) 2012-05-31
JP5008768B2 (ja) 2012-08-22
CN102246268A (zh) 2011-11-16
WO2010071101A1 (ja) 2010-06-24
TW201040680A (en) 2010-11-16

Similar Documents

Publication Publication Date Title
KR20110084318A (ko) 기판 처리 시스템, 기판 처리 방법 및 프로그램을 기억한 기억 매체
KR101968174B1 (ko) 진공 처리 장치
US8483870B2 (en) Substrate processing apparatus and method of displaying abnormal state of substrate processing apparatus
US6896737B1 (en) Gas delivery device for improved deposition of dielectric material
JP2001509646A (ja) 半導体ウエハ製造装置のモジュール式アーキテクチャ
TW201923929A (zh) 真空設備及其操作方法
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
US20080206036A1 (en) Magnetic media processing tool with storage bays and multi-axis robot arms
KR20170113669A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
WO2013002885A1 (en) Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
US20080202420A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
WO2008059921A1 (fr) Dispositif de commande hôte, dispositif de commande esclave, procédé donnant droit à opération écran et support de stockage contenant le programme donnant droit à opération écran
KR100882221B1 (ko) 기판 처리 장치의 제어 장치, 기판 처리 장치의 제어 방법및 기판 처리 장치의 제어 프로그램을 기억한 기록 매체
US20140222187A1 (en) Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
US20080206022A1 (en) Mult-axis robot arms in substrate vacuum processing tool
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber
JP2013042062A (ja) 基板処理装置及び半導体装置の製造方法
JP2008158769A (ja) 基板処理システム、制御装置、設定情報監視方法および設定情報監視プログラムを記憶した記憶媒体
US20080206021A1 (en) Stacked process chambers for magnetic media processing tool
US20080206023A1 (en) Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080202687A1 (en) Stacked process chambers for flat-panel display processing tool
US20110190924A1 (en) Control device for controlling substrate processing apparatus and method therefor
US20020134505A1 (en) Semiconductor substrate processing chamber having interchangeable lids actuating plural gas interlock levels
KR20230093856A (ko) 기판처리시스템 및 로드락모듈
KR20080071680A (ko) 기판 제조를 위한 인라인 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application