TW201923929A - 真空設備及其操作方法 - Google Patents

真空設備及其操作方法 Download PDF

Info

Publication number
TW201923929A
TW201923929A TW107129825A TW107129825A TW201923929A TW 201923929 A TW201923929 A TW 201923929A TW 107129825 A TW107129825 A TW 107129825A TW 107129825 A TW107129825 A TW 107129825A TW 201923929 A TW201923929 A TW 201923929A
Authority
TW
Taiwan
Prior art keywords
vacuum
transfer chamber
vent
chamber
vents
Prior art date
Application number
TW107129825A
Other languages
English (en)
Other versions
TWI734023B (zh
Inventor
殷立釗
邱鈺凌
楊裕隆
林鴻彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201923929A publication Critical patent/TW201923929A/zh
Application granted granted Critical
Publication of TWI734023B publication Critical patent/TWI734023B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種真空設備,包括處理室,以及耦合到處理室的傳送室。傳送室包括一或多個真空口,通過此真空口排出傳送室內的氣體,以及通氣口,從這些通氣口供應通風氣體。配置一或多個真空口和通氣口,使得從通氣口中的至少一個到一或多個真空口的氣流相對於傳送室的中心線為線對稱。

Description

用於處理基板或晶圓的設備
本揭露為關於一種真空設備,更具體地,關於一種處理晶圓或基板的真空設備。
半導體製造程序或平板顯示裝置(例如,液晶顯示器)需要各種真空處理,諸如膜沉積程序和蝕刻程序。在真空處理的過程中,不需要的副產物會產生,並且變成降低半導體裝置或平板裝置良率的顆粒。因此,控制由副產物引起的顆粒為半導體裝置的製造操作和/或平板顯示器的製造操作中所要解決問題中的一個。
10‧‧‧真空處理設備
100、101、102、103、104、105、106、107、108、109、101D、102D、103D、104D、1100、2100、2105、3100、3105、4100、4105、5100、5105‧‧‧通氣口
11A、11B、11C、11D‧‧‧真空室
111、112、113、114、115、131、132、133‧‧‧閥
120、121、122、123、124、125、126、1120、2120、2122、3120、4120、5120、6120A、6120B、6120C、6120D‧‧‧真空口
190‧‧‧處理氣體口
20、20A、20B、20C、20D、20E、1020、2020、3020、4020、5020‧‧‧傳送室
22、22B、24、24B‧‧‧側壁
25、25-1、25-3、1025、2025、3025、4025、5025、6025A、6025B、6025C、6025D‧‧‧閘閥
31、32、33、34、36、37、1031、1032、1033、1034、1035、2031、2032、2033、2034、3031、3032、3033、3034、3035、3036、4031、4032、4033、4034、4035、4036、5031、5032、5033、5034‧‧‧真空處理或測量室
40‧‧‧基板裝卸和傳送機構
50、1050、2051、2052、3050、4050、5050‧‧‧負載鎖定室
60‧‧‧供氣源
6020A、6020、6020C、6020D‧‧‧室體
6100A、6100B、6100C、6100D‧‧‧供氣口
70‧‧‧泵浦系統
80‧‧‧控制器
90‧‧‧存儲器
CL‧‧‧傳送室的中心線
CCL‧‧‧真空處理或測量室的中心線
G‧‧‧幾何中心
GF、GF1、GF2‧‧‧氣流
L‧‧‧線
WF‧‧‧半導體晶圓
第1圖為根據本揭露一實施方式的真空處理設備之示意圖。
第2圖為根據本揭露一實施方式的真空處理設備之示意圖。
第3圖為根據本揭露一實施方式的通氣/真空口配置之示意圖。
第4圖為根據本揭露一實施方式的氣流之示意圖。
第5圖為根據本揭露一實施方式的氣流之示意圖。
第6圖為根據本揭露一實施方式的氣流之示意圖。
第7圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第8圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第9圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第10圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第11圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第12圖為根據本揭露一實施方式的真空處理設備之示意圖。
第13圖為根據本揭露一實施方式的真空處理設備之示意圖。
第14圖為根據本揭露一實施方式的真空處理設備之示意圖。
第15圖為根據本揭露一實施方式的真空處理設備之示意圖。
第16圖為根據本揭露一實施方式的真空處理設備之示意圖。
第17圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第18圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第19圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
第20圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。
應理解的是,以下揭露提供許多不同的實施方式或實施例,這些實施方式或實施例用於實施本揭露的不同特徵。為了簡化本揭露,以下描述組件和配置的具體實施方式或實施例。當然,這些僅為實施例並不意在限制。例如,元件的尺寸不限於所揭露的範圍或數值,但是可以取決於處理條件和/或裝置所需的性質。此外,在以下說明書中,在第二特徵上方或在第二特徵之上形成第一特徵,可以包括第一和第二特徵形成為直接接觸的實施方式,還可以包括附加特徵可以形成為插入第一和第二特徵的實施方式,使得第一和第二特徵可以不直接接觸。為了簡單和清楚起見,各種特徵可以用不同尺度任意繪製。在附圖中,為了簡化,可以省略一些層/特徵。
此外,為便於描述,本文可以使用空間相對術語,例如「下方」、「以下」、「下部」、「以上」、「上部」等等,以描述如圖所示的一個元件或特徵與另一元件或特徵(或多個元件或特徵)的關係。除了圖中描繪的方位之外,空間相對術語意在包含使用中或操作中裝置的不同方位。裝置可以以其他方式定向(旋轉90度或在其他方位),並且本文使用的空間相對描述符號(descriptor)可以相應作同樣解釋。另外,術語「由...製成(made of)」可以表示「包含(comprising)」或「由...組成(consisting of)」。此外,在以下的製造程序中,所描述的操作中/之間可以有一或多個附加操作,並且操作的順序可以改變。在本揭露中,短語「A、B和C中的一個」意指「A、B和/或C」(A、B、C、A和B、A和C、B和C、或A、B和C),除非另有說明,並不意味著來自A的一個元件、來自B的一個元件和來自C的一個元件。
用於半導體裝置的製造操作和/或平板顯示器的製造操作之真空處理設備包括,例如,電漿處理室,諸如電漿乾蝕刻室或光阻灰化室;膜沉積室,諸如化學氣相沉積(chemical vapor deposition,CVD)處理室、外延生長室、濺鍍室、原子層沉積(atomic layer deposition,ALD)室或物理氣相沉積(physical vapor deposition,PVD)室;熱操作室,諸如退火室或氧化室。在這些真空處理室中的半導體晶圓或玻璃基板處理之過程中,蝕刻操作或成膜操作產生的膜和/或副產物沉積在真空處理室的內壁上。此 外,真空處理設備包括非處理室(non-processing chamber),諸如用於測量經預處理和/或後處理的晶圓或基板之物理、化學和/或電氣性質的腔室。另外,真空處理設備包括一或多個負載鎖定室(load lock chamber)和一或多個傳送室,此傳送室連接一或多個負載鎖定室和處理室。
真空處理室一般包括一或多個真空口,此真空口連接到包括一或多個真空泵的泵浦系統。真空設備還包括一或多個通氣口,以便當開啟真空設備時或當增加內部壓力時引入氣體或空氣。當真空處理室內減少壓力和/或增加壓力時,真空處理室內產生空氣或氣流。如此的空氣或氣流可能吹起沉積在真空處理室內壁上的膜或副產物,和/或可能在真空處理室內局部堆積膜或副產物。
在本揭露中,藉由調整真空室內通氣口和真空口的配置,真空室內的空氣或氣流受到控制。
第1圖及第2圖顯示根據本揭露一實施方式的真空處理設備之示意圖。第1圖及第2圖僅顯示解釋本實施方式中特徵所必需的元件,並且應理解,第1圖及第2圖中未明確顯示的一或多個附加特徵被包含在真空處理設備中。
如第1圖所示的真空處理設備10包括:傳送室20,而且真空處理或測量室31、32、33和34分別經由閘閥25連接到傳送室20。真空處理或測量室31、32、33和34為一或多個電漿處理室,諸如電漿乾蝕刻室或光阻灰化室;膜沉積室,諸如化學氣相沉積處理室、外延生長室、濺鍍室、原子層沉積室或物理氣相沉積室;熱操作室,諸如退火室或 氧化室,或任何其他真空室。在一些實施方式中,所有的真空處理或測量室31、32、33和34為相同類型的腔室(例如,相同類型的處理室),並且在其他實施方式中,真空處理或測量室31、32、33和34中的至少一個為不同類型的真空室。本揭露中的處理或程序可以包括測量操作。因此,以下,真空處理或測量室可以簡稱為真空處理室。
此外,一或多個負載鎖定室50也分別經由閘閥25連接到傳送室20。在傳送室內,設置具有一或多個活動臂的基板裝卸(handling)和傳送機構40(例如,晶圓操控器)。藉由基板裝卸和傳送機構40,將用於平板顯示器的半導體晶圓WF或玻璃基板從一腔室傳送到另一腔室。在第1圖中,四個真空處理或測量室31、32、33和34以及兩個負載鎖定室50連接到傳送室20,但是腔室的數量不限於此。此外,在一些實施方式中,二或多個傳送室使用在真空處理設備10中,這些傳送室分別經由閘閥連接。
第2圖為根據本揭露一實施方式所繪示真空系統和通氣系統的真空處理設備之示意圖。
在傳送室20中,設置一或多個通氣口100和一或多個真空口120。通氣口100用於將諸如N2或空氣的通風氣體(vent gas)引入傳送室,並且真空口120用於排空傳送室。氣體並不限於通風氣體,並且可以是處理氣體(process gas)。
更具體地,在一些實施方式中,傳送室20包括五個通氣口101、102、103、104和105以及三個真空口 121、122和123。在一些實施方式中,每個通氣口101、102、103、104和105經由氣體通道為流體連通地(fluid communicably)連接到通氣供氣源60,這些氣體通道上分別設置一或多個閥111、112、113、114和115。通氣供氣源60為儲存加壓通風氣體(諸如N2或空氣)的貯氣瓶或儲氣槽,或設施供氣系統。
在一些實施方式中,每個真空口121、122和123經由氣體通道為流體連通地連接到泵浦系統70,這些氣體通道上分別設置一或多個閥131、132和133。泵浦系統70包括一或多個乾泵,諸如渦輪分子泵(turbo molecular pump,TMP)、吸附泵、濺鍍離子泵、機械增壓泵或低溫泵。閥111-115和131-133分別為開關閥和流量控制閥中的一個。
此外,真空處理或測量室31、32、33和34內設置一或多個處理氣體口190。此外,每個真空處理或測量室31、32、33和34內設置一或多個真空口,此真空口分別連接到泵浦系統70。在一些實施方式中,泵浦系統設置給真空處理或測量室,此泵浦系統與設置給傳送室20的泵浦系統分離。在一些實施方式中,真空處理或測量室內不設置連接到通氣供氣源60的通氣口,在其他實施方式中,真空處理或測量室內設置一或多個通氣口。
真空處理設備10的操作之至少一部分為藉由一或多個控制器80所控制,此控制器連接到或包括一或多個存儲器90。在一些實施方式中,控制器80為包括一或多 個處理器的電腦系統,並且存儲器90儲存控制程式。當控制程式由處理器執行時,控制器80控制操作,例如,基板裝卸和傳送機構40、負載鎖定室50、閘閥25、通氣口101-105的閥111-115、真空口121-123的閥131-133、泵浦系統70和每個處理或測量室31-34。在一些實施方式中,控制器80個別控制通氣口101-105的閥111-115和/或真空口121-123的閥131-133。
第3圖為根據本揭露一實施方式如第1圖和第2圖所示在傳送室20內的通氣/真空口配置之示意圖。
如第3圖所示,真空口121-123中的至少一個和通氣口101-105中的至少一個在傳送室20內相對於傳送室20的中心線(center line,CL)為線對稱(line-symmetrically)配置。當從上方觀察時,中心線CL穿過傳送室20的幾何中心G。在一些實施方式中,幾何中心G可為傳送室20的底部基板形狀之對角線的交叉點。在一些實施方式中,如果對角線不交叉在一點並形成多邊形,則幾何中心G為此多邊形的重心。如果傳送室20的底部基板形狀為三角形,則幾何中心G為三角形的中心。中心線CL也穿過構成傳送室20之側壁22中的一個。更具體地,中心線CL垂直於側壁22中的一個。
在第3圖中,通氣口105和真空口121-123在傳送室20內相對於中心線CL為線對稱配置。而且,通氣口101-104在傳送室20內相對於中心線CL為線對稱配置。此 外,所有的真空口121-123和通氣口101-105在傳送室20內相對於中心線CL為線對稱配置。
另外,如第3圖所示,傳送室20中設置至少一個通氣口在每個處理室前方。例如,分別地,通氣口101位於處理室31的閘閥前方,通氣口102位於處理室32的閘閥前方,通氣口103位於處理室33的閘閥前方,而且通氣口104位於處理室34的閘閥前方。處理室31-34也相對於中心線CL為線對稱配置,因此通氣口101-104在傳送室20內相對於中心線CL為線對稱配置。在一些實施方式中,每個處理室31-34的閘閥與相應通氣口之間的距離為在平面圖中約0.5mm至約5cm的範圍內。
如第3圖所示,雖然個別的通氣口101-104設置給分別的處理室31-34,在一些實施方式中,傳送室20內設置一或多個共用通氣口105。在一些實施方式中,傳送室20的中心線CL上設置至少一個共用通氣口。當設置二或多個共用通氣口時,共用通氣口相對於中心線CL為線對稱配置。
類似地,傳送室20中設置至少一個真空口。在一些實施方式中,傳送室20的中心線CL上設置至少一個真空口(例如,122)。當設置二或多個真空口時,真空口相對於中心線CL為線對稱配置。而且,在一些實施方式中,如第3圖所示,傳送室20中設置至少一個真空口在負載鎖定室50前方。在一些實施方式中,在如此情況下,真空口(或多個真空口)位於比通氣口更靠近負載鎖定室的位置。在其他實施方式中,共用通氣口位於比真空口更靠近負載鎖定室的 位置,並且真空口配置在側壁中的對面側壁24之前方。此外,在一些實施方式中,傳送室20內設置真空口,使得真空口配置在線L上,如第3圖所示,此線L平行於傳送室20的側壁中的一個(例如,22)。
第4圖為根據本揭露一實施方式如第1至3圖所示在真空處理設備10的傳送室20內之氣流的示意圖。
真空處理設備10以各種操作模式或條件進行。例如,在閒置狀態下,真空處理設備10內不裝載半導體晶圓或基板。在處理條件/模式下,藉由傳送室內的基板裝卸和傳送機構40,將一或多個晶圓或基板由負載鎖定室50傳送至處理或測量室31-34中的一或多個,並且晶圓或基板在處理或測量室中進行處理。
在閒置狀態下,關閉所有的閘閥,並且將通風氣體利用真空泵浦引入傳送室,以清洗傳送室內部。清洗操作可以在另一操作條件下執行。在一些實施方式中,為了清洗傳送室20的內部,共用通氣口105的閥115和真空口121-123的閥131-133藉由控制器80的操作進行開啟。如上所述,共用通氣口105和真空口121-123相對於中心線CL為線對稱配置,如第4圖所示,從共用通氣口105至真空口的氣流GF相對於傳送室20的中心線CL為線對稱。
如上所述,膜或副產物產生在處理室31-34中,並且當開啟閘閥25以從處理室傳送晶圓或基板、或將晶圓或基板傳送到處理室時,膜或副產物從處理室移動到傳送室。當氣流為不對稱時(此可能由於,例如,開啟通氣口 101的閥和真空口122的閥),在通氣口105和103附近之傳送室的角落產生死角。當死角產生時,膜或副產物不會被清洗氣流所清洗,而且傾向於局部沉積在死角中。由於晶圓裝卸和傳送機構的移動或由於傳送室內的其他空氣運動,如此局部沉積的膜或副產物可能被吹動或攪起,並且可能落在晶圓或基板上。
相反地,當氣流GF如第4圖所示為對稱時,可能在清洗操作期間使死角的產生最小化,因而可能避免膜或副產物的局部沉積。因此,可能提高經處理的晶圓或基板之良率並可能減少真空處理設備10的維護週期。
在第4圖中,在通氣口之中僅開啟共用通氣口105。在其他實施方式中,在清洗操作期間,通氣口102和103與共用通氣口105一起開啟,或通氣口102和103代替共用通氣口105開啟。在如此情況下,氣流也相對於中心線CL為對稱。在其他實施方式中,開啟所有的通氣口101-105。
在本揭露中,通氣口和/或真空口的線對稱配置不一定需要數學準確度。只要能夠獲得實質上對稱的氣流,通氣口和/或真空口的位置可以從精確的線對稱位置偏離。例如,在一些實施方式中,從精確位置處的位置偏離可為0至約2cm。
第5圖和第6圖為根據本揭露一實施方式,當開啟閘閥以從處理室傳送晶圓或基板、或將晶圓或基板傳送到處理室時,氣流之示意圖。
在一些實施中方式中,當晶圓或基板從處理室31-34傳送到傳送室20、或晶圓或基板從傳送室20傳送到處理室31-34時,處理室內的壓力低於傳送室內的壓力。在其他實施方式中,如上所述,在真空處理室或測量室內未設置提供用於供應通風氣體(例如,N2)的通氣口。在如此情況下,為了清洗真空處理或測量室,將通風氣體從傳送室中通氣口中的一個供應至真空處理或測量室。在一些實施方式中,開啟通氣口的閥,然後開啟閘閥。開啟閘閥和開啟通氣口的閥之時機未特別限制。
在本實施方式中,如第5圖和第6圖所示,為了清洗特定的處理室,僅開啟相應通氣口的閥,此通氣口位於特定處理室前方(並最靠近此特定處理室)。例如,如第5圖所示,當處理室31的閘閥25開啟時,開啟閥111(參見第2圖)將通風氣體從通氣口101引入處理室31,以使氣流GF1最小化,此時關閉其他通氣閥。在一些實施方式中,也關閉傳送室內之真空口121-123的閥。類似地,如第6圖所示,當打開處理室33的閘閥25時,開啟閥113(參見第2圖)將通風氣體從通氣口103引入處理室33,以使氣流GF2最小化,此時關閉其他通氣閥。
當未設置通氣口101-104(這些通氣口為分別設置給相應的處理室31-34),而且僅設置共用通氣口105時,處理室31-34的氣流行進距離為彼此不同(例如,至少在處理室31和處理室32之間不同)。不同長度的氣流行進路徑可能導致清洗操作中的各種問題。例如,當僅使用共用通 氣口105時,與處理室32的清洗操作相比,處理室31的清洗操作可能不足,或者較長的氣流行進路徑可能導致更多被氣流拋起的顆粒。儘管可能將共用通氣口設置在具有離處理室相等距離的位置,氣流行進路徑一般為遙遠,並且由於位在傳送室中心的晶圓裝卸和傳送機構,氣流可能受到擾動而且引起不均勻的氣流。
相反地,藉由分別設置個別通氣口給處理室,可能使氣流行進路徑最小化,並且可能使從通氣口到處理室的氣流行進路徑均等化。因此,可能避免不想要之被氣流拋起的顆粒,並且可能更有效地清洗個別處理室。
第7-16圖為根據本揭露各種實施方式的通氣/真空口配置和/或傳送室組態之示意圖。二或多個以下實施方式中的一或多個特徵可以與一或多個以下實施方式結合。與第1-6圖相同或相似的組態、元件、特徵、材料和/或尺寸,可以使用在以下實施方式中,並且可以省略詳細的解說。此外,前述實施方式的一或多個特徵可以與一或多個以下實施方式結合。
第7圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。如第7圖所示,六個(6)真空處理或測量室31-34和36-37分別經由閘閥連接到具有矩形形狀的傳送室20A。附加真空處理或測量室36和37與真空處理或測量室31-34,在一些實施方式中為相同類型,在其他實施方式中則為不同類型。而且,如第7圖所示,分別設置附加通氣口 106和107在真空處理或測量室36和37前方。通氣口101-107在傳送室20A內相對於中心線CL為線對稱配置。
第8圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在第8圖中,類似於第1-6圖,設置四個真空處理或測量室31-34給傳送室20B。如第8圖所示,傳送室20B包括三個真空口兩對和五個通氣口。真空口121-123設置在靠近側壁22B的位置,並且真空口124-126設置在靠近對面側壁24B的位置。在一些實施方式中,每對中的真空口配置在線上,此線平行於傳送室20B的個別側壁(例如,22B或24B)。類似於第1-6圖,分別設置通氣口101-104給相應的真空處理或測量室31-34。在一些實施方式中,設置共用通氣口105在傳送室20B的幾何中心或附近。通氣口101-105在傳送室20B內相對於中心線CL為線對稱配置,而且真空口121-126在傳送室20B內相對於中心線CL也為線對稱配置。
第9圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在第9圖中,類似於第1-6圖,設置四個真空處理或測量室31-34給傳送室20C。傳送室20C僅包括一個真空口122。通氣口101-105的組態與如第1-6圖所示通氣口的組態相同。真空口121和通氣口101-105在傳送室20C內相對於中心線CL為線對稱配置。
第10圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在第10圖中,類似於第1-6圖,設置四個真空處理或測量室31-34給傳送室20D。如第10圖所示, 傳送室20D包括個別真空處理或測量室31-34的四個通氣口,並且設置兩個共用通氣口108和109。通氣口108-109在傳送室20D內相對於中心線CL為線對稱配置,通氣口101-105和108-109也在傳送室20D內相對於中心線CL為線對稱配置。真空口121-123在傳送室20D內相對於中心線CL為線對稱配置。在第10圖中,中心線CL不穿過任何的通氣口。
第11圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在第11圖中,類似於第1-6圖,設置四個真空處理或測量室31-34給傳送室20E。在第11圖的實施方式中,分別設置二或多個通氣口一組給相應的真空處理或測量室31-34。例如,分別地,真空處理或測量室31前方設置一組通氣口101D,真空處理或測量室32前方設置一組通氣口102D,真空處理或測量室33前方設置一組通氣口103D,真空處理或測量室34前方設置一組通氣口104D。當半導體晶圓的尺寸很大(例如,12英寸),或者使用平板顯示面板的大玻璃基板時,真空處理或測量室的尺寸(特別是寬度)也變大。設置一組通氣口給如此大的真空處理或測量室為有利的。此外,在一些實施方式中,如第11圖所示,此組通氣口中的通氣口(例如,101D)相對於相應的真空處理或測量室31之中心線CCL為線對稱配置。
第12圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在此實施方式中,傳送室1020的形狀為具有六個側壁的六邊形。經由閘閥1025設置一或多個負 載鎖定室1050給六個側壁中的一個,並且五個真空處理或測量室1031、1032、1033、1034和1035分別經由閘閥1025連接到傳送室1020。在一些實施方式中,負載鎖定室1050前方設置三個真空口1120,並且分別設置通氣口1100給相應的真空處理或測量室1031-1035。如第12圖所示,通氣口1110和真空口1120相對於傳送室1020的中心線CL為線對稱配置。在一些實施方式中,傳送室1020中未設置共用通氣口。在其他實施方式中,一或多個共用通氣口相對於中心線CL為線對稱配置。
在一些實施方式中,在閒置狀態下傳送室1020內的清洗操作中,位於真空處理或測量室1033前方的通氣口1100用作共用通氣口,此共用通氣口類似於如第4圖所示的共用通氣口105。在其他實施方式中,位於真空處理或測量室1032和1034前方的通氣口用作共用通氣口,此共用通氣口類似於如第4圖所示的共用通氣口105。
第13圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在此實施方式中,傳送室2020的形狀為具有六個側壁的六邊形。分別地,經由閘閥2025設置兩個負載鎖定室2051、2052給六個側壁中的兩個,並且四個真空處理或測量室2031、2032、2033和2034經由閘閥2025連接到傳送室2020。在一些實施方式中,設置共用通氣口2105。在其他實施方式中,未設置共用通氣口2015,在如此情況下,設置在真空處理或測量室2032和2033前方的通氣口用作如第4圖所示的共用通氣口。分別設置通氣口2100 給相應的真空處理或測量室2031-2034。此外,分別設置真空口2120給相應的負載鎖定室2051、2052。另外,在一些實施方式中,在負載鎖定室2051、2052之間設置共用真空口2122。
如第13圖所示,通氣口2100和2105以及真空口2120和2122相對於傳送室2020的中心線CL為線對稱配置。
第14圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在此實施方式中,傳送室3020的形狀為具有五個側壁的細長五邊形。如第14圖所示,六個(6)真空處理或測量室3031-3036分別經由閘閥3025連接到傳送室3020。設置兩個負載鎖定室3050給傳送室3020的側壁中的一個。分別設置通氣口3100在相應的真空處理或測量室3031-3036前方,還設置共用通氣口3105。設置三個真空口3120在負載鎖定室3050前方。通氣口3100和3105以及真空口3120在傳送室3020內相對於中心線CL為線對稱配置。
第15圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在此實施方式中,傳送室4020的形狀為矩形或正方形。設置六個真空處理或測量室4031、4032、4033、4034、4035和4036。兩個真空處理或測量室分別連接到傳送室4020的三個側壁中的每個,並且兩個負載鎖定室4050連接到傳送室4020的剩餘側壁。分別設置通氣口4100在相應的真空處理或測量室4031-4036前方, 還設置共用通氣口4105。在一些實施方式中,未設置共用通氣口4105。設置三個真空口4120在負載鎖定室4050前方。通氣口4100和4105以及真空口4120在傳送室4020內相對於中心線CL為線對稱配置。
第16圖為根據本揭露另一實施方式的通氣/真空口配置之示意圖。在此實施方式中,傳送室5020的形狀為具有五個側壁的五邊形。如第15圖所示,四個真空處理或測量室5031-5034分別經由閘閥5025連接到傳送室5020。設置一或多個負載鎖定室5050到傳送室5020的側壁中的一個。分別設置通氣口5100在相應的真空處理或測量室5031-5034前方,還設置共用通氣口5105。在其他實施方式中,未設置共用通氣口。設置三個真空口5120在負載鎖定室5050前方。通氣口5100和5105以及真空口5120在傳送室3020內相對於中心線CL為線對稱配置。
第17-20圖為根據本揭露一實施方式在真空處理或測量室內的供氣/真空口配置之示意圖。
在前述實施方式中,解說傳送室內對稱的端口配置。然而,對稱的端口配置不限於傳送室,而且可以應用在任何其他具有一或多個供氣口和一或多個真空口的真空室。二或多個以下實施方式中的一或多個特徵可以與一或多個以下實施方式結合。與第1-16圖相同或相似的組態、元件、特徵、材料和/或尺寸,可以使用在以下實施方式中,並且可以省略詳細的解說。此外,前述實施方式的一或多個特徵可以與一或多個以下實施方式結合。
第17圖為根據本揭露一實施方式的供氣/真空口配置之示意圖。如第17圖所示,真空室11A包括室體6020A和閘閥6025A。室體6020A包括至少一個供氣口和至少一個真空口。在一些實施方式中,設置一個供氣口6100A和一個真空口6120A在室體6020A的中心線CL上。根據第17圖的端口配置,在例如清洗操作中,從供氣口6100A到真空口6120A的氣流相對於中心線CL為對稱,因此使死角的產生最小化。在一些實施方式中,供氣口6100A位於靠近閘閥6025A,而且真空口6120A位於室體6020A的對面側。在其他實施方式中,真空口6120A位於靠近閘閥6025A,而且供氣口6100A位於室體6020A的對面側。
第18圖為根據本揭露另一實施方式的供氣/真空口配置之示意圖。如第18圖所示,真空室11B包括室體6020B和閘閥6025B。室體6020B包括至少一個供氣口和至少一個真空口。在一些實施方式中,一個供氣口6100B和兩個真空口6120B相對於室體6020B的中心線CL為線對稱配置。根據第18圖的端口配置,在例如清洗操作中,從供氣口6100B到真空口6120B的氣流相對於中心線CL為對稱,因此使死角的產生最小化。在一些實施方式中,供氣口6100B位於靠近閘閥6025B,而且真空口6120B位於室體6020B的對面側。在其他實施方式中,真空口6120B位於靠近閘閥6025B,而且供氣口6100B位於室體6020B的對面側。
第19圖為根據本揭露另一實施方式的供氣/真空口配置之示意圖。如第19圖所示,真空室11C包括室體6020C和閘閥6025C。室體6020C包括至少一個供氣口和至少一個真空口。在一些實施方式中,兩個供氣口6100C和兩個真空口6120C相對於室體6020C的中心線CL為線對稱配置。根據第19圖的端口配置,在例如清洗操作中,從供氣口6100C到真空口6120C的氣流相對於中心線CL為對稱,因此使死角的產生最小化。在一些實施方式中,供氣口6100C位於靠近閘閥6025C,而且真空口6120C位於室體6020C的對面側。在其他實施方式中,真空口6120C位於靠近閘閥6025C,而且供氣口6100C位於室體6020C的對面側。
第20圖為根據本揭露另一實施方式的供氣/真空口配置之示意圖。如第20圖所示,真空室11D包括室體6020D和閘閥6025D。室體6020D包括至少一個供氣口和至少一個真空口。在一些實施方式中,兩個供氣口6100D和一個真空口6120D相對於室體6020D的中心線CL為線對稱配置。根據第20圖的端口配置,在例如清洗操作中,從供氣口6100D到真空口6120D的氣流相對於中心線CL為對稱,因此使死角的產生最小化。在一些實施方式中,供氣口6100D位於室體6020D的中間,並且真空口6120D位於閘閥6025D對面的室體6020D的末端側。在其他實施方式中,兩個真空口6120D位於室體6020D的中間,並且一個供氣口6100D位於室體6020D的末端側。
應理解的是,並非所有的優點都已經在本文中進行必要的討論,但是對於所有的實施方式或實施例而言,沒有需要特定的優點,並且其他的實施方式或實施例可以提供不同的優點。
根據本揭露的一方面,真空設備包括處理室,以及耦合到處理室的傳送室。傳送室包括一或多個真空口,通過此真空口排出傳送室內的氣體,以及通氣口,從這些通氣口供應通風氣體。配置一或多個真空口和通氣口,使得從至少一個通氣口到一或多個真空口的氣流相對於傳送室的中心線為線對稱。在一或多個前述和以下的實施方式中,通氣口相對於傳送室的中心線為線對稱配置。在一或多個前述和以下的實施方式中,傳送室中設置至少一個通氣口在每個處理室前方。在一或多個前述和以下的實施方式中,設置至少一個共用通氣口在傳送室的中心線上。在一或多個前述和以下的實施方式中,傳送室中設置二或多個真空口。在一或多個前述和以下的實施方式中,此二或多個真空口相對於傳送室的中心線為線對稱配置。在一或多個前述和以下的實施方式中,傳送室的中心線上設置此二或多個真空口中的至少一個。在一或多個前述和以下的實施方式中,真空設備另包括連接到傳送室的負載鎖定室。在一或多個前述和以下的實施方式中,傳送室中設置此一或多個真空口中的至少一個在負載鎖定室前方,並且位於比通氣口更靠近負載鎖定室的位置。在一或多個前述和以下的實施方式中,在傳送室和每個處理室之間設置閘閥。
根據本揭露的另一方面,真空設備包括處理室、耦合到處理室的傳送室、分別設置在傳送室和處理室之間的閘閥、泵浦系統、供氣源、設置在傳送室內並分別經由一或多個真空閥連接到泵浦系統的一或多個真空口、設置在傳送室內並分別經由通氣閥連接到供氣源的通氣口、以及用於至少控制此一或多個真空閥和通氣閥的控制器。通氣口相對於傳送室的中心線為線對稱配置。中心線為穿過傳送室幾何中心的線,並且在平面圖中垂直於傳送室的一個側壁或一個角落。在一或多個前述和以下的實施方式中,當關閉所有的閘閥時,控制器開啟至少一個真空閥和至少一個通氣閥,使得從至少一個通氣口到至少一個真空口的氣流相對於傳送室的中心線為線對稱。在一或多個前述和以下的實施方式中,傳送室中設置至少一個通氣口在每個處理室前方。在一或多個前述和以下的實施方式中,當開啟閘閥中的一個(此閘閥相應於處理室中的一個)時,控制器開啟通氣閥中的一個(此通氣閥相應於通氣口中的一個,此通氣口在相應處理室中的一個之前方)。在一或多個前述和以下的實施方式中,其中,當開啟通氣閥中的一個時,控制器不開啟剩餘通氣閥。在一或多個前述和以下的實施方式中,傳送室的中心線上設置至少一個共用通氣口。在一或多個前述和以下的實施方式中,當關閉所有的閘閥時,控制器開啟此至少一個共用通氣口的至少一個通氣閥,並且開啟此一或多個真空閥。
根據本揭露的另一方面,用於處理半導體晶圓的真空設備包括處理室(此處理室包括至少一個選自由電漿 蝕刻室、膜沉積室組成的群組)、耦合到處理室的傳送室、以及設置在傳送室內的晶圓操控器。傳送室包括一或多個真空口,通過此真空口排出傳送室內的氣體,以及通氣口,從這些通氣口供應通風氣體。通氣口相對於傳送室的中心線為線對稱配置。中心線為穿過傳送室幾何中心的線,並且在平面圖中垂直於傳送室的一個側壁或一個角落。在一或多個前述和以下的實施方式中,真空設備另包括負載鎖定室。傳送室中設置此一或多個真空口在負載鎖定室前方,並且位於比通氣口更靠近負載鎖定室的位置。在一或多個前述和以下的實施方式中,傳送室內設置二或多個真空口,並且配置在平行於傳送室中的一個側壁之線上。
根據本揭露的另一方面,為用於操作真空設備的方法。真空設備包括處理室、耦合到處理室的傳送室、分別設置在傳送室和處理室之間的閘閥、泵浦系統、供氣源、設置在傳送室內並分別經由一或多個真空閥連接到泵浦系統的一或多個真空口、以及設置在傳送室內並分別經由通氣閥連接到供氣源的通氣口。設置至少一個通氣口在每個處理室前方。在此方法中,當晶圓從傳送室移動到處理室中的一個,或晶圓從處理室中的一個移動到傳送室時,開啟閘閥中的一個(此閘閥相應於處理室中的一個)。開啟通氣閥中的一個(此通氣閥相應於通氣口中的一個,此通氣口在此處理室中的一個之前方)。
根據本揭露的另一方面,為用於操作真空設備的方法。真空設備包括處理室、耦合到處理室的傳送室、分 別設置在傳送室和處理室之間的閘閥、泵浦系統、供氣源、設置在傳送室內並分別經由一或多個真空閥連接到泵浦系統的一或多個真空口、以及設置在傳送室內並分別經由通氣閥連接到供氣源的通氣口。在此方法中,當關閉所有的閘閥時,開啟至少一個真空閥和至少一個通氣閥,使得從至少一個通氣口到至少一個真空口的氣流相對於傳送室的中心線為線對稱。在一或多個前述和以下的實施方式中,僅開啟一個通氣閥,此時關閉其餘通氣閥,使得僅從一個通氣口到至少一個真空口的氣流相對於傳送室的中心線為線對稱。在一或多個前述和以下的實施方式中,設置二或多個真空口和二或多個真空閥,並且開啟二或多個真空口,使得從至少一個通氣口到此二或多個真空口的氣流相對於傳送室的中心線為線對稱。
以上概述數個實施方式或實施例的特徵,以便本領域技術人員可以更好地理解本揭露的各個方面。本領域的技術人員應認識到,可以輕易地使用本揭露作為設計或更改其他程序和結構的基礎,以實現本文所介紹實施方式或實施例的相同目的和/或達到相同優點。本領域技術人員亦應認識到,此等效結構並未偏離本揭露之精神及範疇,並且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。

Claims (20)

  1. 一種真空設備,包含:複數個處理室;一傳送室,該傳送室耦合到該些處理室,其中:該傳送室包括:一或多個真空口,通過該一或多個真空口排出該傳送室內的一氣體;以及複數個通氣口,從該些通氣口供應一通風氣體,以及配置該一或多個真空口和該些通氣口,使得從該些通氣口中的至少一個到該一或多個真空口的氣流相對於該傳送室的一中心線為線對稱。
  2. 如請求項1之真空設備,其中該些通氣口相對於該傳送室的該中心線為線對稱配置。
  3. 如請求項1之真空設備,其中該傳送室中設置至少一通氣口在各該處理室前方。
  4. 如請求項3之真空設備,其中該傳送室的該中心線上設置至少一共用通氣口。
  5. 如請求項1之真空設備,其中該傳送室中設置二或多個真空口。
  6. 如請求項5之真空設備,其中該二或多個真空口相對於該傳送室的該中心線為線對稱配置。
  7. 如請求項5之真空設備,其中該傳送室的該中心線上設置該二或多個真空口中的至少一個。
  8. 如請求項1之真空設備,還包含一負載鎖定室連接到該傳送室。
  9. 如請求項8之真空設備,其中該傳送室中設置該一或多個真空口中的至少一個在該負載鎖定室前方,並且該一或多個真空口中的至少一個位於比該些通氣口更靠近該負載鎖定室的位置。
  10. 如請求項1之真空設備,其中在該傳送室和各該處理室之間設置一閘閥。
  11. 一種真空設備,包含:複數個處理室;一傳送室,該傳送室耦合到該些處理室;複數個閘閥,該些閘閥分別設置在該傳送室和該些處理室之間;一泵浦系統;一供氣源;一或多個真空口,該一或多個真空口設置在該傳送室 內並分別經由一或多個真空閥連接到該泵浦系統;複數個通氣口,該些通氣口設置在該傳送室內並分別經由複數個通氣閥連接到該供氣源;以及一控制器,該控制器用於至少控制該一或多個真空閥和該些通氣閥,其中,該些通氣口相對於該傳送室的一中心線為線對稱配置,該中心線為穿過該傳送室一幾何中心的一線,並且該中心線在平面圖中垂直於該傳送室的一側壁或一角落。
  12. 如請求項11之真空設備,其中,當關閉所有的該些閘閥時,該控制器開啟至少一真空閥和至少一通氣閥,使得從至少一通氣口到至少一真空口的氣流相對於該傳送室的一中心線為線對稱。
  13. 如請求項11之真空設備,其中該傳送室中設置至少一通氣口在各該處理室前方。
  14. 如請求項13之真空設備,其中當開啟該些閘閥中的一個,各該閘閥相應於該些處理室中的一個時,該控制器開啟該些通氣閥中的一個,各該通氣閥相應於該些通風口中的一個,各該通風口在相應之該些處理室中的一個前方。
  15. 如請求項14之真空設備,其中,當開啟 該些通氣閥中的一個時,該控制器不開啟剩餘通氣閥。
  16. 如請求項13之真空設備,其中該傳送室的該中心線上設置至少一共用通氣口。
  17. 如請求項16之真空設備,其中,當關閉所有的該些閘閥時,該控制器開啟該至少一共用通氣口的至少一通氣閥,並且開啟該一或多個真空閥。
  18. 一種用於操作一真空設備之方法,該真空設備包括:複數個處理室;一傳送室,該傳送室耦合到該些處理室;複數個閘閥,該些閘閥分別設置在該傳送室和該些處理室之間;一泵浦系統;一供氣源;一或多個真空口,該一或多個真空口設置在該傳送室內並分別經由一或多個真空閥連接該泵浦系統;以及複數個通氣口,該些通氣口設置在該傳送室內並分別經由複數個通氣閥連接到該供氣源,該方法包含,當關閉所有的該些閘閥時,開啟至少一真空閥和至少一通氣閥,使得從至少一通氣口到至少一真空口的氣流相對於該傳送室的一中心線為線對稱。
  19. 如請求項18之方法,其中僅開啟一通氣閥,此時關閉剩餘通氣閥,使得僅從一通氣口到至少一真空口的氣流相對於該傳送室的該中心線為線對稱。
  20. 如請求項18之方法,其中:設置二或多個真空口和二或多個真空閥,以及開啟該二或多個真空口,使得從至少一通氣口到該二或多個真空口的氣流相對於該傳送室的該中心線為線對稱。
TW107129825A 2017-11-15 2018-08-27 真空設備及其操作方法 TWI734023B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586580P 2017-11-15 2017-11-15
US62/586,580 2017-11-15
US15/906,689 US11948810B2 (en) 2017-11-15 2018-02-27 Apparatus for processing substrates or wafers
US15/906,689 2018-02-27

Publications (2)

Publication Number Publication Date
TW201923929A true TW201923929A (zh) 2019-06-16
TWI734023B TWI734023B (zh) 2021-07-21

Family

ID=66432377

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107129825A TWI734023B (zh) 2017-11-15 2018-08-27 真空設備及其操作方法

Country Status (4)

Country Link
US (1) US11948810B2 (zh)
KR (1) KR20190055704A (zh)
CN (1) CN109786282A (zh)
TW (1) TWI734023B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI754371B (zh) * 2019-09-06 2022-02-01 日商佳能安內華股份有限公司 負載鎖定裝置

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) * 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11031264B2 (en) * 2018-08-15 2021-06-08 Taiwan Semoconductor Manufacturing Co., Ltd. Semiconductor device manufacturing system
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) * 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7154325B2 (ja) * 2021-01-20 2022-10-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
CN100423179C (zh) * 2002-06-21 2008-10-01 应用材料股份有限公司 用于真空处理系统的传送处理室
KR20040013965A (ko) 2002-08-09 2004-02-14 삼성전자주식회사 멀티 챔버형의 공정설비
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070119393A1 (en) * 2005-11-28 2007-05-31 Ashizawa Kengo Vacuum processing system
WO2009031419A1 (ja) * 2007-09-03 2009-03-12 Tokyo Electron Limited 真空処理システム
JP5356732B2 (ja) * 2008-06-06 2013-12-04 株式会社日立ハイテクノロジーズ 真空処理装置
KR20140129279A (ko) 2012-08-10 2014-11-06 캐논 아네르바 가부시키가이샤 터널 자기저항소자 제조장치
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014150260A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
JP6573892B2 (ja) * 2013-09-30 2019-09-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。
JP2016004834A (ja) * 2014-06-13 2016-01-12 東京エレクトロン株式会社 真空処理装置
KR102046592B1 (ko) 2014-09-30 2019-11-22 주식회사 원익아이피에스 기판 처리 장치
US10566226B2 (en) 2014-11-11 2020-02-18 Applied Materials, Inc. Multi-cassette carrying case
JP6459462B2 (ja) * 2014-12-11 2019-01-30 東京エレクトロン株式会社 リーク判定方法、基板処理装置及び記憶媒体
US10119191B2 (en) * 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
JP6951923B2 (ja) * 2017-09-27 2021-10-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI754371B (zh) * 2019-09-06 2022-02-01 日商佳能安內華股份有限公司 負載鎖定裝置

Also Published As

Publication number Publication date
US11948810B2 (en) 2024-04-02
CN109786282A (zh) 2019-05-21
TWI734023B (zh) 2021-07-21
KR20190055704A (ko) 2019-05-23
US20190148177A1 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
TWI734023B (zh) 真空設備及其操作方法
KR101220790B1 (ko) 진공 처리 장치, 진공 처리 장치의 운전 방법 및 기억 매체
TWI526382B (zh) 用於基板處理的叢集式設備
US8623457B2 (en) Vacuum processing system
US10867819B2 (en) Vacuum processing apparatus, vacuum processing system and vacuum processing method
TWI634612B (zh) 負載腔室及其使用該負載腔室之多腔室處理系統
US20130248014A1 (en) Load lock device
US9748124B2 (en) Vacuum processing apparatus and operating method thereof
KR20130105317A (ko) 반도체 제조 툴을 위한 밸브 퍼지 어셈블리
TW202034432A (zh) 側儲存艙、設備前端模組與操作設備前端模組的方法
JP2007273620A (ja) 基板搬送装置及び基板処理装置
CN111105975B (zh) 半导体元件制造系统及操作半导体元件制造系统的方法
US20160293459A1 (en) Apparatus for processing sustrate and semiconductor fabrication line including the same
US20070130738A1 (en) Vacuum processing apparatus and zonal airflow generating unit
US20220213594A1 (en) Process module, substrate processing system, and processing method
TWI681491B (zh) 基板處理裝置
KR102491903B1 (ko) 기판 또는 웨이퍼를 프로세싱하기 위한 장치
JP6343536B2 (ja) 処理装置および処理方法
KR20140128848A (ko) 퍼니스형 반도체 설비
KR20080054759A (ko) 기판 처리 장치 및 방법
US20230230865A1 (en) Semiconductor substrate processing apparatus
KR102638144B1 (ko) 상보적 패턴 스테이션 설계들
TWI732420B (zh) 半導體製程機台
US20220154338A1 (en) Apparatus and system for delivering gas to a process chamber
KR20090015656A (ko) 기판처리장치