TW200941615A - Rotating temperature controlled substrate pedestal for film uniformity - Google Patents

Rotating temperature controlled substrate pedestal for film uniformity Download PDF

Info

Publication number
TW200941615A
TW200941615A TW097143151A TW97143151A TW200941615A TW 200941615 A TW200941615 A TW 200941615A TW 097143151 A TW097143151 A TW 097143151A TW 97143151 A TW97143151 A TW 97143151A TW 200941615 A TW200941615 A TW 200941615A
Authority
TW
Taiwan
Prior art keywords
substrate
substrate support
processing system
semiconductor processing
axis
Prior art date
Application number
TW097143151A
Other languages
English (en)
Inventor
Dmitry Lubomirsky
Kirby H Floyd
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200941615A publication Critical patent/TW200941615A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

200941615 六、發明說明: 【發明所屬之技術領域】 本申請係關於用於沉積、圖形化和薄膜及塗層處理之 . 製造技術解决方案(包括裝置、處理和材料),典型實例 • 包括(但不限於):半導體和介電材料和元件,石夕基晶圓 和平板顯示器(例如,TFT )。 φ 【先前技術】 傳統半導體處理系統包含一或多個處理室以及用於在 其間移動基板的裝置。可藉由機械臂在室間傳送基板, 該機械臂能延伸以拾取基板、收縮並接著再次延伸以將 基板定位在不同目的室中。各個室具有底座或者支撑基 板以用於處理的某種等效方式。 底座可以是被構成爲加熱基板之處理室中的加熱板。 φ 在機械臂放下基板和該臂返回以拾取基板之間藉由機 械、壓力差或者靜電手段將該基板固持於底座。升降銷 (lift pin )通常用於在機械操作期間升高晶圓。 在室中進行一或多個半導體製造處理步驟,諸如退火 基板或者沉積或蝕刻基板上的膜。橫跨基板的處理均勻 性一直是考慮因素,且在某些處理中已經成爲主要的挑 戰。以下實例將有助於示出不足。在一些處理步驟中, 介電膜必須沉積到複雜拓撲(t〇p〇1〇gy )上。已經開發出 很多技術將介電質沉積到狹窄間隙中,包括有時采用電 4 200941615 漿技術的化學氣相沉積技術的變體。 由於引入反應物垂直的碰撞軌道以及同時的濺射活 性,已經將高密度電漿(HDP) -CVD用於填充許多幾何 結構。但是,部分地由於缺少隨著最初揸擊的移動性, 因此一些非常狹窄的間隙已經延伸從而産生空隙。在沉 積之後回流材料可填充空隙,但是如果介電質主要是例 如si〇2,則其也會消耗晶圓熱預算(thermaibudget)不 可忽略的部分。 ❹ 借助於其高表面移動性,可流動材料(例如,旋塗玻璃 (SOG))已經用於填充一些HDp_CVD沒有完全填充的 溝槽。以液體施加SOG且在施加之後烘焙以去除溶劑, 從而將材料轉換成固態玻璃膜。當粘稠度低時增强了 SOG的間隙填充和平坦化能力,但是這也是其中固化期 間膜收縮率高的情况。明顯的膜收縮導致高的膜應力和 層離問題,厚膜則特別嚴重。 © 針對一些化學作用,在基板表面上的處理期間,將氧 化前驅物和有機矽烷前驅物之傳遞路徑分隔能産生可流 動膜。由於在表面上生長而非傾瀉該膜,因此在與目前 選擇性烘焙步驟相關之降低收縮率的處理期間允許蒸發 降低粘稠度所需的有機成分。分離的缺點(d〇wnside) 是沉積膜在基板表面上僅可自由流動一段時間。前驅物 的有機成分必須受到控制,以便在該段時間期間,可填 充介層洞和其他高深寬比幾何結構而沒有產率限制性空 隙。如果生長膜的粘稠度升高過快,則膜均勻度也會受 5 200941615 到影響。 第1圖不出了在氧化與矽烷前驅物之間分離的非常簡 單實施例。該圖示出了在處理期間存在的幾種要素。氧 化前驅物(諸如氧(〇2)、臭氧(〇3)、…)可由電漿12〇 • 「遠端」激發,「遠端」意思是其不直接激發從其他路徑 (在此示出爲兩個管110)到達的氣體。第!圖的管子 能運載有機矽烷前驅物(諸如TEOS、OMCTS、…),防 ❿ 止兩種前驅物之間的化學反應直到其至少在處理區域 130内部且可能在基板表面1〇7附近或之上為止。示出 了由底座組件101、105支撑的基板。 注意,來自垂直管的氧路徑會受到導流片(baffle)124 的影響,目的是阻止在基板表面上方不均勻的反應,其 明顯地影響所沉積膜的特性和厚度均勻性。已經對調整 管110的位置和數量以及更加明顯的改變傳送硬體進行 了嘗試,但沒有完全成功β ® 上方列舉的啓發性實例决不是唯一一個缺乏均勻性之 基板處理技術。甚至在介電沉積的技術中,傳統pEcvD 和HDP-CVD處理中的氣體供給方法也會導致缺少沉積 均勻性。在各種基板處理步驟中,現有技術中仍需要進 一步地改善均勻性。 【發明内容】 所揭露之實施例包括基板處理系統,其具有處理室和 6 200941615 至少部分地設置在室中的基板支撑組件。基板支撑組件 可由馬達旋轉。儘管有這種旋轉,在實施例中,該系統 仍允許電流、冷却流體、氣體和真空在處理室外的非旋 轉源和處理室内的可旋轉基板支撑組件之間傳送。在電 流的情况下,旋轉導體電耦接到靜止導體。對於流體(包 括氣體,液體和真空)而言,旋轉通道流體耦接到靜止 通道。冷却流體和電連接可用於改變由基板支撑組件所
Ο 支撑之基板的溫度。電連接也能用於靜電夾持晶圓到支 撑組件。一或多個旋轉密封件(其可是低摩擦〇型環) 用於保持真空同時仍允許基板組件旋轉。真空泵能連接 到用於夾持晶圓的埠或者用於差動抽吸旋轉密封件的其 他埠。 在一些實施例中,可將一或多個加熱元件置於基板支 撑部件内或周圍《在一些實施例中,可將冷却元件設置 在基板支撑部件内或周圍以降低支撐件和基板的溫度。 冷却70件亦可經配置以冷却旋轉密封件好延長其使用期 限。 、 支撑組件可進一步包括耦接到轴的提升機構,以用於 升高和降低基板支撑組件。 所揭露之實施例更進一步包括半導體處理系統,其具 有偏心旋轉基板支撑組件,其至少部分地設置在膜沉積 室内部。基板支撐組件可包括基板支撑件、耦接到基板 支撐件的軸、和耦接到轴以旋轉基板支撐件的馬達。可 將軸定位成偏_基板支撺件中心、以産±支撐件相對於轴 7 200941615 旋轉的偏心旋轉。 斤揭露之另外實施例包括半導體處理系統,其具有至 少部分設置在膜沉積室内部的能傾斜式基板支撑組件。 基板支撑组件可包括基板Μ件、㈣到基板支撑件的 轴、和㈣到軸以旋轉基板切件的馬達。基板支撑件 可支撑基板’基板相對於“傾斜從而#基板支撑件旋 轉時産生搖擺。 ”實施例和特徵部分地在以下的說明書中列出,部 分地係—旦查看了說明#,對於本領域技術人員是顯而 易見的,且通過實施所揭露之實施例可學習到更多實施 例。所揭露之實施例的特徵和優點可借助於說明書中公 開的手段、組合和方法實現和獲得。 【實施方式】 所揭露之實施例的實施方案包括基板支撑組件,將其 參 改進成在處理室内部進行處理期間允許基板旋轉。由於 旋轉能實現更加均勻的處理,因此事實上在所有處理步 驟中都希望有旋轉。在沉積處理的情况下,基板旋轉能 改善所沉積膜的厚度均勻性。當牵涉於沉積處理中的反 應物具有低的或者短暫的表面移動性時,旋轉晶圓將特 別有助於產生更加均勻的膜。因此,所揭露的實施例將 有助於降低基板回流步驟和沉積溫度,由此允許在其他 位置消耗熱預算。所揭露的實施例適合於沉積所有材料 8 200941615 (諸如金屬、半導體和絕緣層)。 2由投置在室外部的馬達提供在處理室中旋轉基板的 此需要結合旋轉密封件。使用一或較佳使用多個。型 環的旋轉密封組件可經特別設計或商業上取得且可由各 ,材料製成。必須向〇型環㈣件施加愿力以允許處理 室保持明顯不同於外部麼力的内部麼力。提供來按麼0 型環之機械力#〇型環的彈性可確保産生密可藉由 Q 重力、可調整的固定機構(如,螺栓)或者通過各』其 他實質上等同的手段提供機械力。也可使用通常不稱作 0型環的可壓縮密封件。 —種其他方法包括在兩個同心環工件之一者中設計一 或多個0型環溝槽,和確保選擇之内部和外部直施 加製造商推薦壓力從而按壓ο型環。第2圖示出了一個 這種環形工件。限制於旋轉基板支撺軸上的溝槽中的21 0示出了幾個(來從Performance Seasling公司)全氟化 彈性體Ο型環。重要的是選擇對於旋轉應用推薦的密封 産品。這種Ο型環可具有Tefl〇n@外殼、Tef丨塗層、 嵌入的潤滑劑或减少摩擦(替換方式包括來自Ferr〇tee 的Ferrofluidic®)的一些其他方式。在組件處理期間, 外圓柱被設置在限制之0型環上方以在該實施例中實現 處理密封。在另一實施例中,〇型環可被限制在外圓柱 (未示出)中。 第2圖中’旋轉密封件是〇型環,其與基板支撑底座 一起旋轉。在一些實施例中,所示出的基板支撑組件能 9 200941615 沿著基板支撐軸的軸線往返移 心砂動c例如向上和向下)。這 在一些處理t和機械控制中 音^M ^ ^ ^ 疋有用的參數。亦應當注 意’旋轉密封件可被設置在靜 靜止的匹配工件(未示出) 中。儘管在這種設置中〇型 衣疋靜止的,但是其亦被稱 作旋轉密封件。 稱
再次參考第2圖中的圖,兩個相鄰的〇型環標記爲 21〇。頂部那個上方的區域與處理室内部相鄰或者是其一 邻刀❿底邛。型環下方的區域可以處在大氣壓下。不 管室内的壓力與室外的壓力相比為不同還是相同,對兩 個相鄰的Ο型環之間的區域施加真空都是有益的,其可 降低污染物進人處理室中的機會。因此,果送埠貼裝到 兩個〇型環密封之間以抽空該區域。該技術被稱作差動 泵送’且纟最佳條件下或者如果第—㈣環密封件存在 問題時’能有助於保護處理區域不受室外空氣液漏的影 響。可在多於一個位置(例如每對三組的〇型環之間) 實施差動泵送。如果該處理與一聲物理氣相沉積(PVD) 處理相同受益於特別低的泄漏率(這種室將通常具有低 的基本壓力,例如< 10-5托),則特別希望是這樣的。此 處和貫穿全文’術語真空用於描述各種被抽空的區域。 真空明顯不是缺乏所有的氣體或流體,但是真空能保持 在一個大氣壓( 760托)下的壓力以提供各種益處。 組裝的實施例於第3圖中示出且示出了密封在可旋轉 基板支撑軸340和靜止旋轉密封外殼350之間的壓縮〇 型環310。在第3圖中描述了三個真空連接,其中兩個 10 200941615
321 ' 324是用於排空空氣或氣體的區域否則空氣或氣體 將進入到處理室中。真空連接3M用於抽空空氣的任何 泄漏或俘獲體積的靜止旋轉密封外殼的頂部凸緣之間的 密封。真空連接321是之前結合第2圖描述的差動泵送 皐其長1供了抵擂空氣從下部360進入到處理區域的第 二條防線。一些替換結構受益於使用這些埠作爲淨化 埠,這裏,惰性氣體(諸如N2)通過區域(例如,324) 流動以取代反應物種。 第3圖中剩餘的真空連接327存在於一些實施例中且 在可旋轉基板支撑軸340周邊附近提供真空,真空之後 通過轴(實質上不論旋轉位置如何)中的孔從而甚至在 旋轉期間也允許真空用於「夾持」或保持基板至底座。 這種類型的連接稱作旋轉流體接合或旋轉流體麵合器且 能用於真空’如所示出的’但是也傳導氣流或液體流。 對於第3圖的真空應用,如果在處理室中的壓力高於真 空泵在底座附近産生的壓力,則發生基板夾持。雖然真 空夾持在低壓處理(例如,PVD)中不是非常有用,但是采 用〇.5托以上處理壓力的很多處理(例如,Aietr〇na)能 使用保持基板的這種方法1出了所有三個真空連接都 具有90。配合(fitting)和收縮配合(c〇mpressi〇n ^⑴叫)聯 接’但是替換方法構造也是可以的。 更加完整的基板支撑組件於第4圖中示出且表示所揭 露之實施例。再次標記差動泵送埠421和真空夾持埠A” 以提供透視圖。在該實施例中,增加另外㈣和部件以 11 200941615
允許調整基板溫度。爲了能進行這種懸,該實施例包 括商業上可獲得(例如,從Deublin公司)且裝配有靜 止流體連接404的旋轉流體接合器(uni〇nh冷却流體 在通過替換的通道返回且通過旋轉接合器4〇8排出之前 向上流過旋轉接合器,通過基板支撑軸和部件(或該實 施例中的底座)412。該基板處理中典型的應用是降:基 板溫度但是「冷却流體」也可用於溫暖底座。貫穿該文 件使用術肖流體的標準U ;流體可以是㈣、氣體或 其組合。目此,例如,旋轉流體轉合器能用於輕合冷却 流體但是也可以是氣體或真空。 冷却流體可以是多種流體,且實施例中可以是單獨的 水或者例如與乙二醇相組合。希望冷却流體通路的内壁 可容忍不管使用何種冷却流體,以最大化裝置的使用壽 命。在不同實施例中,基板溫度可保持在5它和12〇t>c或 20°C和6(TC之間的所需溫度。通過(來自例如Therm〇 Scientific )再循環冷却器控制冷却流體溫度。儘管再循 環的流體通常在再循環冷却器中冷却,但是也能將其加 熱且之後用於升高基板溫度。 在相同或其他實施例中,旋轉流體接合器用於運載冷 却流體以冷却密封機構,從而降低摩擦和熱組合以减弱 旋轉密封件的機會。在第5圖中描述了示出該功能的實 施例。這種情况下,旋轉流體接合器5〇8被設置成緊密 靠近旋轉密封外殼550。用於引導冷却流體的通路被設 計成進入基板支撑軸以允許旋轉密封區域中的循環。示 12 200941615 出了兩個靜止流體連接504其中之—。也示出了差動泵 送埠且標記爲521。 在—些實施例中,旋轉電引線(feed_thr〇ugh)用於各種 目的,包括加熱、冷却、基板溫度測量、基板電位偏置 和靜電夾持基板至基板支撑部件。這各種應用對結合到 基板支撑組件的旋轉電引線的選擇構成了限制。這些應 用中的一些需要高電流(例如電阻樣品加熱)、高電壓(例 φ 如靜電夾持)和/或低干擾(例如熱耦合輸出例如, 在一個所揭露之實施例中,電阻加熱器被設置在基板支 撐底座中或附近以加熱基板至l〇(rc* 9〇0°C2間的溫 度。旋轉電引線的替換名稱包括旋轉電耦合或接合器。 旋轉電引線於第5圖中示出。靜止電接點531提供了 至相應旋轉電接點533的電連接。適合旋轉電傳導的機 構包括金屬電刷(brush )、金屬軸襯(bushing )、滾珠轴 承、滚圈和液體水銀。可使用滑動金屬電刷,每一個都 〇 與分離的金屬環接觸且傳導明顯的電信號和/或提供明 顯的電源。其他類型的電接觸也以相似方式提供多個信 號。在另一實施例中,通過「滾圈」提供旋轉電接觸, 其中傳導盤(conducting disk )在傳導管内部旋轉,傳導 管内徑大於盤直徑。在移動接觸點附近達成實質上恒定 的接觸。另一實施例通過經由受限的水銀槽旋轉兩個固 態傳導工件提供了一種旋轉電接觸,這種情况下,電功 率和信號通過液體傳導。 所有列出的機構都能設計成提供所列應用所需要的電 13 200941615 壓和電流。但是,使用液體水銀接合器降低了電阻的不 均勻性,其能實現從處理系統以較低衰退而輸出小熱耦 信號。最小化旋轉期間熱電阻的不均勻性也降低了能縮 短旋轉電接合器部件有效壽命的電火花(sparking)機
會。在旋轉密封件的大氣一側整體取代旋轉電接合器在 多個實施例中發生且消除了對在多個實施例中真空相容 之旋轉電接合器的需要。因此’當用於描述旋轉電接合 器時的術語引線(feed-through)不限於描述能保持一側 真空和另一側大氣壓的連接。 不管是連接機構還是取代物,在單個旋轉接合器中都 可製作多於-個電連接。四個連接接合器可用於以電阻 輸入加熱基板和通過監控熱耦讀取溫度。只要滿足電氣 特性,就希望具有盡可能各& Φ、垂 ,聂了此夕的電連接以獲得盡可能大 靈活性。 黾勃機能用於旋轉處 —.·〜/土、慨几汗,再5丨起基 板底座和基板(當存㈣)在室⑽轉。馬達共輛地貼 ,板元件的轴但是也可與齒輪、皮帶、鍵條或等同 =中的-個或幾個轉合。如果底座以公知的角度位 、/止移動’則最容易的是將基板傳送到室内和外部。 =财量的結果是,馬達在旋轉之後應具有到達特定角 馬達商*上:Γΐ:成::—))。-些 導航。馬達在卷一 期的旋轉時其將自動 施例中 方法或方法中的步驟之後導航。實 ,馬達是中空軸馬達或者是h齒輪馬達(例如 200941615 從Oriental馬達或Sanyo Denki馬達獲得)。中空齒輪馬 達以低的外型輪廓建立高轉矩且導致良好的角度控制。 示出的這種馬達與第4圖(418)和第5圖(518)的基 板支撐組件結合。當馬達旋轉時,能寫入軟體以控制其 旋轉速度和加速度。
使用所揭露實施例的典型結果於第6圖中示出。示出 的是示出玻璃厚度關於其平均值的偏移的49個點的圓 形基板(即晶圓)圖。這些特定膜是通過被設計以填充 狹窄間隙的工藝(Applied Materials的Aietr〇na⑧工藝) 生長的氧化矽膜。兩個物理上不同的路徑用於導入氧化 和矽烷前驅物的供給,避免反應直到在基板表面附近或 之上。氧化前驅物通過遠端電漿系統預處理以産生氧自 由基。實線625表示每個氧化膜具有相似於所有49個點 :均值的平均厚度的大致位置。對於較厚和較薄的讀出 資料不出了一致厚度的其他線,該較厚和較薄讀出資料 分別在加號和减號附近作出。在這些測量期間的邊緣排 除約爲3mm。 不旋轉的情况下(於第6圖中左側示出的),所沉積膜 示出了大量的緊密間隔的線,表示膜厚度快速的且大的 變化。引入僅有1〇RPM的非常適度的旋轉提供了非常不 同的結果(見第6圖的右側)。等厚度線的數量降低了且 增加了間隔。很多條線形成了基本圓形的圖形,表示所 期望的沉積旋轉對稱。簡單統計對比(在第6圖中兩個 晶圓圖下示出)也示出了完全的改進。在左襴中的百分 15 200941615 疋關於所測量值的平均值統計的偏移。對於在沉積期 門不旋轉的晶圓的晶圓圖具有標率偏移39.6%,而對於 旋轉的晶圓的晶圓圖具有實質上3 〇%的較低測量偏移。 所揭露之實施例可通過構造基板支撑部件以支援基板 攸而使基板中心不在基板支撑軸的軸上來進一步限定。 田軸旋轉時,基板將旋轉,但是基板中心也可圍繞轴的 中心旋轉。在另一揭露之實施例中,基板的轴(垂直於 ❹ 基板表面平面的中心線)相對於基板支撑軸的軸線傾 斜,導致基板支撑組件旋轉時的搖擺現象。兩種修改都 降低了基板上處理的對稱性,其能均勻化處理步驟的實 際結果諸如所沉積膜的厚度。在實施例中,基板轴相對 於基板支律軸的轴的傾斜小於約〇.丨。。 在實施例中,可調整該傾斜作爲方法步驟的一部分。 希望在 >儿積之前使得基板在非傾斜位置處下降並進入到 傾斜位置。一旦元成處理,基板就能返回到非傾斜位置β 〇 能通過使用旋轉流體接合器中的一個將其設計成典型的 底座,以提供氣體的驅動壓力到一個或多個鎖位 (captured)的柱塞(plunger)中,其升高基板支撑部 件的一側。一旦除去驅動壓力,底座就返回到非傾斜位 置。 示範性基板處理系統 沉積系統的實施例可結合到用於製造積體電路晶片的 較大製造系統中。第7圖示出了根據所揭露實施例的沉 16 200941615 積、烘焙和固化室的一個這種系統700。在圖中,一對 FOUP 702提供_個(多個)基板如,3()()_|以 圓),其通過機械臂704接收並在被設置到晶圓處理室 708a f中的個之前被設置到低壓保持區域7〇6。第二 機械臂710用於將基板晶圓從保持區域7〇6料到處理 室708a-f和返回。 ❹ 處理至708a-f可包括用於沉積、退火、固化和/或蝕 刻基板曰曰圓上可流動介電膜的一個或多個系統部件。在 種’、=構t 對處理室(例如7()8c_d和7⑽可用 於在基板上沉積可流動介電材料,而第三對處理室(例 如708a b){用於退火所沉積的介電質。在另一結構中, ㈣的兩對處理室(例如·-d和鹰e_f)可被構成爲 儿積和退火基板上的可流動介電膜,而第三對室(例如 7〇8a-b)可用於UV4 E束固化所沉韻。再又一結構 中,所有三對室(办丨‘ 7ΛΟ _ J如708a-f)都被構成爲在基板上沉 積和固化介電膜。#又一結構中,兩對處理室(例如 d和7(>8e-f)可用於沉積和UV或E束固化可流動 介電質,而第三對虚扭— 理至(例如708a-b)可用於退火介 電膜0將理解,诵;再么^ 系統700可預期用於可流動介電膜 的沉積、退火和固化室的其他結構。 此外,將處理室70Ra f Λ以 8a-f中的一個或多個構造爲濕式處 理至。這些處理室包括在句 栝在匕括濕氣的大氣中加熱可流動 介電膜。由此,系絲7Λη ^总 〇的實施例可包括濕式處理室 7〇8a-b和退火處理室 c- ’以對所沉積的介電膜進行 17 200941615 濕式和幹式退火。
第8圖示出了示範性處理系統850的另一實施例,這 裏設置在側面管子853上方的多孔板852分配來自頂部 入口 854的前驅物。多孔板852通過穿過板厚度的多個 開口分配前驅物。該板可取代第i圖中的導流片124或 者”其釔合使用。板852可具有例如約丨〇至2〇〇〇個開 口(例如200個開口)。所示出的實施例中,多孔板可分 配氧化氣體,諸如原子氧和/或其他含氧氣 一 s。所示出的結構中,氧化氣體被引入到在含:S 刖驅物上方的,儿積室中,其也可被引入到沉積基板上方 (從側面的管子8 5 3 )。 通過上述幾個實施例,本領域技術人員將理解可使用 各種改進、替換構造和等同物而不脫離所公開實施例的 精神ifc外’並未描述許多公知的處理和元件以避免不 必要的模糊本發明。因此,上述描述不應限制本發明的
提供數值範圍的地方,應理解,也特別公開了該範圍 ^上限和下限之間的每個中間值至下限值單位的十分之 除非上下文中另外清楚地指f也包括所述範圍任 意所述值或巾間值與該所述制妹何其他所述的或中 間值之間的每個較小益 _ 範圍。這些較小範圍的上限和下限 可单獨包括或排哈方兮於m '、在邊範圍内,且在所述範圍内任意特 別排除的界限的彳+ # 你彳千下,兩個界限中的任一個、任一個 都不或兩個都舍紅*〜 車乂小範圍内的每個範圍也包括在本 18 200941615 發明中。在所述範圍包括—個或兩個界限的 包括排除了這些所包括界限中的 也 ,., 個或兩個的範圍。 如在此以及在所附的申請專 报彳沾「加i「 祀固中所使用的,單數 …-個」和「那個」包括複數參考 清楚另外說明。由此,例如,參 、 文 ^ 一個處理 白把夕 個這種工藝且參考「那個馬達」包括參考 : 達以及本領域技術人員公知的其 5夕’、、、 ❹
而且,詞語「包括」、「包括有「“等等。 ^ l括有」、含有」、「具有」和 H二’::在該說明書以及附屬的申請專利範圍中時 曰在W存在所述特徵、整體、部件或步驟,伸是其不 排除存在或附加-個或多個其他部件、整體、部件、、 驟、動作或組合。 " 【圖式簡單說明】 可通過參考說明書的剩餘部分和附圖瞭解所揭露之實 施例的本質和優點的進―步理解,附”所有圖示使用 相似讀符號表示相似部件…些例子令,與元件符號 連結且在連字元後之子標“.Μ)係表㈠個相似部 件中的-個。當參考元件符號作而沒有對存在子標的說 月時3在表示所有這種多個相似部件。 第1圖示出了用於通過分離的氧化和有機石夕燒前驅物 生長骐的, 儿積室内現有技術處理區域和遠端電漿區域的 示意圖; 19 200941615 第2圖示出了根據所揭露之實施例的基板支撑組件的 側視圖; 第3圖示出了在軸外殼内部之基板支撑軸(基板支撑 組件的部分)的截面圖; 第4圖示出根據所揭露實施例的具有流過旋轉流體耦 合器的溫控流體、轴和基板支撑件的基板支撑組件; 第5圖示出了根據所揭露實施例的具有流過旋轉流體 相合器且冷却基板支攆軸的旋轉密封區域之冷却流體的 基板支撑組件; 第6圖示出了根據所揭露實施例在沉積氧化物膜期間 不具有或具有1 0RPM基板旋轉的49個點的測量圖; 第7圖示出了根據所揭露實施例的基板處理系統; 第8圖示出了根據所揭露的實施例的基板處理室。 【主要元件符號說明】 101、 105 底座組件 107 .基板表雨 110 管 120 遠端電漿 124 導流片 130 處理區域 210 〇型環 310 壓縮Ο型環 321、 324、327 真空連接 340 可旋轉基板支撑軸 350 靜止旋轉密封外殼 360 下部 404 ' 5〇4 靜止流體連接 408 旋轉接合器 412 基板支撐軸 ❹ 20 200941615 418、 518 基板支撑組件 421、 521 差動泵送终 427 真空夾持埠 508 旋轉流體接合器 531 靜止電接點 533 旋轉電接點 550 旋轉密封外殼 625 實線 700 系統 702 FOUP 704 機械臂 706 低壓保持區域 708a 、708b 、 708c 、 708d 、708e > 708f 晶圓處理室 710 第二機械臂 850 處理系統 852 多孔板 853 側面管子 854 頂部入口 ❿ 21

Claims (1)

  1. 200941615 七、申請專利範圍: 1.一種半導體處理系統,其至少包括: 内 的 -處理室,具有一能保持一室内壓力的内部,該室 壓力可不同於該室外壓力; X 該處理室移除 一泵送系統,耦接至該處理室且適合自 材料, 一基板支撑組件,包括:
    一基板支撐件,適合支撑一在該處理室内部 基板; 一基板支撑軸,以一旋轉固定方式耦接至該基 板支撑件,其中該基板支撑轴能相對於該處理室 旋轉; 一馬達,耦接至該基板支撑軸,且經配置以i RPM 和2000 RPM之間的一旋轉速度旋轉該基板支撑組件; 至少、方疋轉逸、封件’輕接於該基板支撑轴與該處理室 之間,其中即使在該基板支撑組件旋轉時,該旋轉密封 件也允許該系統保持一不同於該室外壓力的室内壓力; 至少一方疋轉流體柄合器(fluid coupling),配置以在該 處理室中的至少一靜止通道與至少一旋轉通道之間傳 導一流體;及 一旋轉電引線(feed-through),配置以允許電流在該 處理室外的至少一靜止導體與該處理室内的至少一 可旋轉導體之間傳遞。 22 200941615 :·如申請專利範圍第1項所述之半導體處理系統,其中 送埠轉密封件包括至少兩個旋轉密封與-差動泵 、〜自泵送埠係經配置以提供一通道好從該至少 兩個旋轉密封件之間移除氣體。 所述之半導體處理系統,其中 功率給該基板支撐件附近的一 加熱源以增加該基板支撑件與
    3.如申請專利範圍第1項 該方疋轉電引線係用於提供 加熱器’該加熱器提供一 該基板的溫度。 ,其中 的一靜 4·如申請專利範圍第1項所述之半導體處理系統 該旋轉電引線係用於提供一電遷給該基板支律件 電夾持機構。 ❿ 5.如申請專利範圍第!項所述之半導體處理系統,其 該旋轉速度係在約10RPM與約12〇RpM之間。 6.如申請專利範㈣】項所述之半導體處理系統,其 該馬達係經配置以順時針和逆時針兩者方向旋轉該轴 7·如申請專利範圍第1項所述之半導體處理系統,其t 該至少-旋轉流體輕合器的其尹兩者係用於猶環— 流體通過該旋轉基板支撑組件。 息』 23 200941615 8. 如申請專利範圍第7項所述之半導體處理系統,其中 該温控流體通過該基板支撑軸中的通道以降低該基板支 撑件與該基板的溫度。 9. 如申請專利範圍第7項所述之半導體處理系統,其中 該溫控流體通過該基板支撑軸中的通道以冷却該至少一 旋轉密封件。 ❹ 10. 如申請專利範圍第丨項所述之半導體處理系統,其 中該至少一旋轉流體耦合器的其中一者係用於向上傳導 真空通過該基板支撑軸至該基板支撑件以將該基板夾持 在該基板支撑件上。 u.如申請專利範圍第1項所述之半導體處理系統,其 〇 中該旋轉電引線利用由液體水銀、金屬電刷和金屬轴 襯、滾珠軸承與滾圈構成之群組中的至少一者產生一旋 轉電連接。 12. 如申請專利範圍帛1 $所述之半導體冑理系統,其 中該基板是圓形的且該基板中㈣在該基板支撑轴的轴 線上以致當該基板旋轉時該基板中心*顯著旋轉。 13. 如中請專利範圍帛i項所述之半導體處理系統,其 24 200941615 中該基板是圓形的且該基板中心不在該基板支撑軸的軸 線上以致當基板支撑轴旋轉時該基板中心旋轉。 14. 如申請專利範圍第1項所述之半導體處理系統,其 中該基板是圓形的且該基板的軸線傾斜於該基板支撑軸 的轴線以當該基板支撑轴旋轉時産生一搖擺。 15. 如申請專利範圍第14項所述之半導體處理系統, 中該基板的軸線以約0.1。或更少傾斜於該基板支律轴的 軸線。 16. 如申請專利範圍第14項所述之半導體處理系統,其 中在一膜沉積期間可調整該基板轴線的傾斜。 、 17. 如申請專利範圍第14項所述之半導體處理系統,其 Φ 中在該膜沉積期間調整該基板從一非傾斜位置至一傾斜 位置。 ^ 18. 如中請專利範圍帛i項所述之半導體處理系统,其 中該系統包括一升降機構,耦接至該基板支撑軸以升^ 和降低該基板支撑件。 ^ 25
TW097143151A 2007-11-08 2008-11-07 Rotating temperature controlled substrate pedestal for film uniformity TW200941615A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US98632907P 2007-11-08 2007-11-08
US12/111,817 US20090120368A1 (en) 2007-11-08 2008-04-29 Rotating temperature controlled substrate pedestal for film uniformity

Publications (1)

Publication Number Publication Date
TW200941615A true TW200941615A (en) 2009-10-01

Family

ID=40345034

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097143151A TW200941615A (en) 2007-11-08 2008-11-07 Rotating temperature controlled substrate pedestal for film uniformity

Country Status (7)

Country Link
US (1) US20090120368A1 (zh)
EP (1) EP2058849A3 (zh)
JP (1) JP2009117845A (zh)
KR (1) KR101140017B1 (zh)
CN (1) CN101527254B (zh)
SG (1) SG152212A1 (zh)
TW (1) TW200941615A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI549155B (zh) * 2014-09-04 2016-09-11 技術發現者聯合有限公司 半導體裝置
TWI616976B (zh) * 2015-06-05 2018-03-01 瓦特洛威電子製造公司 高熱傳導晶圓支撐臺座裝置

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
EP2780489B1 (en) 2011-11-18 2019-01-09 First Solar, Inc Vapor transport deposition method and system for material co-deposition
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN103774118B (zh) * 2012-10-17 2016-03-02 理想能源设备(上海)有限公司 基片承载装置及金属有机化学气相沉积装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10351956B2 (en) 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치
US9394938B2 (en) 2013-06-19 2016-07-19 Applied Materials, Inc. Internal chamber rotation motor, alternative rotation
US9583332B2 (en) * 2014-01-29 2017-02-28 Applied Materials, Inc. Low temperature cure modulus enhancement
TWI665753B (zh) * 2014-06-05 2019-07-11 美商應用材料股份有限公司 多晶圓旋轉料架ald中的集成兩軸升降旋轉電動機的中央基座
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017149740A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 薄膜形成装置に用いる基盤トレイ
NL2017773B1 (en) * 2016-11-11 2018-05-24 Suss Microtec Lithography Gmbh Positioning device
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
TWI765936B (zh) * 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
US10704147B2 (en) 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
CN107475689A (zh) * 2017-08-28 2017-12-15 常州亿晶光电科技有限公司 一种改善理想机台沉积ALOx膜均匀性的方法
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
JP7292919B2 (ja) * 2018-09-27 2023-06-19 東京エレクトロン株式会社 基板処理装置
US11199562B2 (en) 2019-08-08 2021-12-14 Western Digital Technologies, Inc. Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same
KR20230024424A (ko) 2019-08-12 2023-02-20 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法
CN113707578B (zh) * 2021-08-30 2023-07-04 重庆电子工程职业学院 一种便于封装集成电路芯片的封装装置及其使用方法

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US80057A (en) * 1868-07-21 william hawksworth
US144490A (en) * 1873-11-11 Improvement in car-couplings
US277734A (en) * 1883-05-15 New jbksey
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
JPS5775738U (zh) * 1980-10-27 1982-05-11
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) * 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
US5081069A (en) * 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) * 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5966595A (en) * 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
DE19629705A1 (de) * 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
JP3792417B2 (ja) * 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
JP2000311769A (ja) * 1999-04-28 2000-11-07 Kyocera Corp 円盤状ヒータおよびウエハ加熱装置
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
WO2001048800A1 (fr) * 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6696362B2 (en) * 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6634650B2 (en) * 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP2003297912A (ja) * 2002-03-28 2003-10-17 Hitachi Kokusai Electric Inc 基板処理装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7018555B2 (en) * 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
JP2004207545A (ja) * 2002-12-26 2004-07-22 Hitachi Cable Ltd 半導体気相成長装置
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20050121145A1 (en) * 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (ja) * 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
JP4395752B2 (ja) * 2004-12-22 2010-01-13 日本精工株式会社 回転保持装置
KR100782380B1 (ko) * 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
US7479210B2 (en) * 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) * 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
JP4847136B2 (ja) * 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
TW200739710A (en) * 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7514375B1 (en) * 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI549155B (zh) * 2014-09-04 2016-09-11 技術發現者聯合有限公司 半導體裝置
TWI616976B (zh) * 2015-06-05 2018-03-01 瓦特洛威電子製造公司 高熱傳導晶圓支撐臺座裝置

Also Published As

Publication number Publication date
EP2058849A3 (en) 2010-03-31
KR101140017B1 (ko) 2012-07-09
EP2058849A2 (en) 2009-05-13
KR20090048355A (ko) 2009-05-13
SG152212A1 (en) 2009-05-29
CN101527254B (zh) 2013-05-15
JP2009117845A (ja) 2009-05-28
US20090120368A1 (en) 2009-05-14
CN101527254A (zh) 2009-09-09

Similar Documents

Publication Publication Date Title
TW200941615A (en) Rotating temperature controlled substrate pedestal for film uniformity
JP3946641B2 (ja) 処理装置
CN105051251B (zh) 用于旋转料架原子层沉积的装置以及方法
US6259592B1 (en) Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
TW200840425A (en) Plasma immersion chamber
US20130047924A1 (en) Substrate processing apparatus and film deposition apparatus
JP2013530536A (ja) ロードロックバッチオゾン硬化
US20190390337A1 (en) High temperature rotation module for a processing chamber
JP2023029910A (ja) インサイチュの半導体処理チャンバ温度装置
TW201241868A (en) Plasma processing apparatus
EP3555910B1 (en) Rotatable electrostatic chuck having backside gas supply
JP2005166716A (ja) 絶縁膜の形成方法及び絶縁膜形成システム
JP4454621B2 (ja) 処理装置
JP2022540607A (ja) 同時基板移送用ロボット
TWI227512B (en) Heating apparatus capable of electrostatic suction
CN105304651A (zh) 阵列基板、显示器及阵列基板的制备方法
TWI831806B (zh) 陶瓷混合絕緣板
US8569183B2 (en) Low temperature dielectric flow using microwaves
JP2011001591A (ja) ガス加熱装置
TWI685060B (zh) 熱隔離之晶圓支撐裝置及其製造方法
JP2010135645A (ja) 膜形成方法および膜形成装置
JP2010199493A (ja) 基板処理装置
TWI797651B (zh) 用於晶圓自動旋轉之裝置及設備
JP2010001560A (ja) 膜形成方法および膜形成装置
TW202249086A (zh) 半導體處理腔室中的旋轉偏壓基座和靜電夾盤