KR20090048355A - 필름 균일도를 위한 회전형 온도 제어식 기판 받침대 - Google Patents

필름 균일도를 위한 회전형 온도 제어식 기판 받침대 Download PDF

Info

Publication number
KR20090048355A
KR20090048355A KR1020080110380A KR20080110380A KR20090048355A KR 20090048355 A KR20090048355 A KR 20090048355A KR 1020080110380 A KR1020080110380 A KR 1020080110380A KR 20080110380 A KR20080110380 A KR 20080110380A KR 20090048355 A KR20090048355 A KR 20090048355A
Authority
KR
South Korea
Prior art keywords
substrate
substrate support
processing system
semiconductor processing
rotating
Prior art date
Application number
KR1020080110380A
Other languages
English (en)
Other versions
KR101140017B1 (ko
Inventor
드미트리 루보미르스키
커비 에이치. 플로이드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090048355A publication Critical patent/KR20090048355A/ko
Application granted granted Critical
Publication of KR101140017B1 publication Critical patent/KR101140017B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

기판 프로세싱 시스템이 개시된다. 상기 시스템은 프로세싱 챔버, 그리고 상기 프로세싱 챔버 내에 적어도 부분적으로 위치되는 기판 지지 조립체를 포함한다. 기판 지지 조립체는 모터에 의해서 회전될 수 있으면서도, 프로세싱 챔버 외부의 비-회전 소오스(source)로부터 프로세싱 챔버 내부의 회전가능한 기판 지지 조립체 사이로 전기, 냉각 유체, 가스 및 진공이 이송될 수 있도록 허용한다. 냉각 유체 및 전기 연결부는 기판 지지 조립체에 의해서 지지되는 기판의 온도를 높이거나 낮추는데 이용될 수 있다. 전기 연결부는 또한 웨이퍼를 지지 조립체에 정전기적으로 척킹하는데 이용될 수 있을 것이다. 회전 시일 또는 시일(저마찰식 O-링 일 수 있다)을 이용하여, 기판 조립체의 회전을 허용하면서도 진공을 유지할 수 있다. 진공 펌프들은 웨이퍼를 척킹하기 위해서 사용되는 포트들에 연결될 수 있다. 또한, 펌프들은, 둘 이상의 회전 시일들이 존재하는 경우에, 그 회전 시일들의 쌍 사이의 영역을 차등적으로 펌핑(differentially pump)하기 위해서 사용될 수 있다.

Description

필름 균일도를 위한 회전형 온도 제어식 기판 받침대{ROTATING TEMPERATURE CONTROLLED SUBSTRATE PEDESTAL FOR FILM UNIFORMITY}
관련 출원의 교차-참조
본 출원은 2007년 11월 8일자로 출원된 미국 가명세서 출원 제 60/986,329 호에 의한 우선권 이익을 주장한다. 본 출원은 2007년 5월 29일자로 출원되고 대리인 서류 번호(Attorney Docket)가 A10495/T68810인 미국 출원 제 11/754,924 호, 2007년 5월 29일자로 출원되고 대리인 서류 번호가 A11100/T72410인 미국 출원 제 11/754,916 호, 그리고 2007년 5월 29일자로 출원되고 대리인 서류 번호가 A11162/T72710인 미국 출원 제 11/754,858 호와 관련된다. 이들 상기 3개의 출원은 모두 2006년 5월 30일자로 출원된 미국 가명세서 출원 제 60/803,499 호를 기초로 우선권의 이익을 주장한다. 상기 모든 출원들의 전체 내용은 모든 목적에 대해서 본 명세서에서 참조된다.
기술 분야
본 출원은 반도체 및 유전체 물질 그리고 소자들(devices), 실리콘계 웨이퍼 및 평판 패널 디스플레이(예를 들어, TFTs)을 포함하는 (예시적인) 용도들을 포함하는 대표적인 실시예들과 함께, 증착, 패터닝, 그리고 박막 필름의 처리 및 코팅 에서 사용되는 설비, 프로세스, 및 물질을 포함하는 제조 기술상의 해결책에 관한 것이다.
종래의 반도체 프로세싱 시스템은 하나 이상의 프로세싱 챔버 및 그 챔버들 사이에서 기판을 이동시키기 위한 수단을 포함한다. 기판은 로봇형 아암에 의해서 챔버들 사이에서 이송될 수 있으며, 상기 로봇형 아암은 기판을 픽업하기 위해서 연장될 수 있고, 후퇴되며, 이어서 다시 연장하여 기판을 여러 지정 챔버내에 다시 위치시킬 수 있다. 각 챔버는 프로세싱 동안에 기판을 지지하는 받침대 또는 일부 균등한 지지 방식을 구비한다.
받침대는 기판을 가열하도록 구성된 프로세싱 챔버내의 히터 플레이트일 수도 있다. 기판은, 로봇 아암이 기판을 내려 놓았을 때 그리고 아암이 기판을 픽업하기 위해서 되돌아갈 때, 기계적 수단, 압력차 수단, 정전기적 수단에 의해서 받침대에 유지될 것이다. 일반적으로, 승강 핀을 이용하여 로봇 작동 중에 웨이퍼를 상승시킨다.
기판에 대한 어닐링, 또는 기판상에서 필름을 증착하거나 필름을 에칭하는 것과 같은 하나 이상의 반도체 제조 프로세스 단계들이 챔버 내에서 실시된다. 기판에 걸친 프로세스 균일도는 항상 고려할 사항이며 특정 프로세스에서 특히 문제가되고 있다. 이하의 예는 결함을 이해하는데 도움이 될 것이다. 유전체 필름은 일부 프로세싱 단계들 동안에 복잡한 토폴로지(topologies; 형태)로 증착되어야 한다. 플라즈마 기술을 종종 채용하는 화학기상 증착 기술의 다양한 변형예를 포함 하여, 좁은 갭(gap)내로 유전체를 증착하기 위한 많은 기술들이 개발되었다.
고밀도 플라즈마(HDP)-화학기상증착을 이용하여 많은 기하학적 형상(geometries)을 충진하고 있는데, 이는 유입되는 반응제(reactants)의 수직 침투 궤적(impingement trajectories) 및 동시적인 스퍼터링 활동(activity)에 기인한 것이다. 그러나, 일부 매우 좁은 갭은 계속적으로 공극을 형성하는데, 이는, 부분적으로는, 초기 충격(impact) 이후의 이동성(mobility)의 부족에 기인한다. 증착 후 물질의 재유동은 공극을 충진할 수 있으나, 유전체가 주로 예를 들어 SiO2 인 경우에, 웨이퍼의 열비용(thermal budget)의 무시할 수 없는 부분을 소모할 수도 있을 것이다.
높은 표면 이동성에 의해서, HDP-CVD에 의해서 불완전하게 충진된 갭의 일부를 충진하는데 있어서, 스핀-온 글래스(SOG)와 같은 유동-가능한 물질이 효과적으로 이용되고 있다. SOG는 액체로서 도포되고 도포 후에 용매의 제거를 위해서 베이킹되며, 그에 따라 물질을 고체 유리 필름으로 변환시킨다. SOG에서 갭-충진 및 평탄화 능력은 점성이 낮을 때 증대될 수 있으나, 이는 또한 경화 중에 많은 수축을 일으킬 것이다. 상당한 필름 수축은, 특히 두꺼운 필름의 경우에, 높은 필름 응력 및 층분리(delamination) 문제를 초래한다.
일부 화학물질에서, 산화 전구체와 유기-실란 전구체의 공급 경로들을 분리함으로써, 기판 표면에 대한 프로세스 중에 유동-가능한 필름의 생성을 가능하게 할 수 있다. 그러한 필름들은 표면상으로 부어(poured)지는 것이 아니라 성장되기 때문에, 점성 감소를 위해서 필요한 유기 성분들이 프로세스 중에 증발될 수 있게 허용되며, 이는 선택적인 베이킹 단계와 연관되는 수축을 감소시킨다. 그러한 분리의 단점은, 놓여진(deposited) 필름이 단지 소정 시간 동안에만 기판의 표면 상에서 자유롭게 유동한다는 것이다. 이러한 시간 동안에, 비아 및 다른 고 종횡비의 기하학적 형상부가 수율-저하 공극을 생성하지 않고 충진될 수 있도록, 전구체의 유기 성분이 반드시 제어되어야 한다. 성장 필름의 점성이 너무 급격히 상승한다면, 필름 균일도도 역시 영향을 받을 것이다.
도 1은 산화 전구체와 유기-실란 전구체를 분리한 매우 단순한 실시예를 도시한다. 도 1은 프로세싱 중에 존재하는 몇 개의 부재들을 도시한다. 산화 전구체(예를 들어, 산소(O2), 오존(O3)...)는 다른 경로(여기에서, 두 파이프(110)로 도시되어 있다)로부터 도달한 가스들을 직접적으로 여기시키지 않는다는 견지에서 "원격(remote)"이라고 지칭하는 플라즈마(120)에 의해서 여기될 수 있다. 도 1의 파이프들은 유기-실란 전구체(예를 들어, TEOS, OMCTS, ...)를 이송할 수 있으며, 이때 적어도 프로세싱 영역(130) 내에 있을 때까지 그리고 가능한 한 기판(107)에 가깝게 될 때까지 또는 기판상에 위치될 때까지 두 전구체 종류 사이에 화학적 반응이 일어나는 것을 방지한다. 기판은 받침대 조립체(101, 105)에 의해서 지지되는 것으로 도시되어 있다.
수직 튜브로부터의 산소의 경로는 배플(124)에 의해서 차단될 수 있다는 것을 주목하여야 하며, 그러한 배플의 목적은 부착된 필름의 두께 및 균일도 특성에 명백하게 영향을 미칠 수 있는 기판 표면 위쪽의 불균질한 반응을 억제하기 위한 것이다. 튜브(110)의 개체수와 위치를 조정하고 공급 하드웨어를 상당한 변경하는 시도가 있어 왔지만, 완전한 성공은 아직 이루지 못하였다.
단순히 제시된 동기유발적인(motovating) 예는 단지 균일도가 부족한 기판 프로세싱 기술에 불과하다. 유전체 증착과 관련한 기술에서도, 통상적인 PECVD 및 HDP-CVD 프로세스에서의 가스 공급 방법은 증착 균일도의 부족을 초래한다. 다양한 기판 프로세싱 단계들에서, 추가적인 균일도 개선에 대한 요구가 여전히 존재한다.
개시된 실시예들은 프로세싱 챔버 및 상기 챔버 내에 적어도 부분적으로 배치되는 기판 지지 조립체를 구비하는 기판 프로세싱 시스템을 포함한다. 기판 지지 조립체는 모터에 의해서 회전될 수 있다. 그러한 회전에도 불구하고, 실시예들에서, 프로세싱 챔버 외부의 비-회전 소오스(source)와 프로세싱 챔버 내부의 회전가능한 기판 지지 조립체 사이에서 전기, 냉각 유체, 가스 및 진공이 이송될 수 있도록 시스템이 허용한다. 전기의 경우에, 회전 전도체가 고정 전도체에 전기적으로 커플링된다. 유체(가스, 액체 및 진공 포함)의 경우에, 회전하는 채널은 고정 채널에 유체적으로 커플링된다. 냉각 유체 및 전기 연결부들을 이용하여 기판 지지 조립체에 의해서 지지되는 기판의 온도를 변화시킬 수 있다. 또한, 전기적 연결부들을 이용하여 웨이퍼를 지지 조립체에 정전기적으로 척킹(chuck)할 수 있다. 하나 이상의 회전형 시일(rotary seals)(저마찰식 O-링 일 수 있다)을 이용하여, 기판 조립체의 회전을 허용하면서도 진공을 유지할 수 있다. 진공 펌프들은 웨이퍼를 척킹하기 위해서 사용되는 포트들 또는 회전형 시일들을 차등적으로 펌핑(differentially pump)하기 위해서 사용되는 다른 포트들에 연결될 수 있다.
실시예들 중 일부에서, 하나 이상의 가열 부재들이 기판 지지 부재 주변에 또는 그 내부에 위치된다. 일부 실시예들에서, 냉각 부재가 기판 지지 부재의 내부에 또는 그 주변에 위치되어 지지 부재 및 기판의 온도를 감소시킨다. 냉각 부재는 또한 회전형 시일을 냉각시켜 그 수명을 연장시키도록 구성될 수 있다.
지지 조립체는 기판 지지 부재를 상승 및 하강시키기 위해서 샤프트에 커플 링된 승강 메카니즘을 더 포함할 수 있다.
개시된 실시예들은 반도체 프로세싱 시스템을 더 포함할 수 있으며, 그러한 반도체 프로세싱 시스템은 필름 증착 챔버 내부에 적어도 부분적으로 배치된 편향식(eccentric) 회전 기판 지지 조립체를 구비한다. 기판 지지 조립체는 기판 지지 부재, 상기 기판 지지 부재에 커플링된 샤프트, 그리고 상기 샤프트에 커플링되어 기판 지지 부재를 회전시키는 모터를 포함할 수 있다. 상기 샤프트는 기판 지지 부재로부터 중심에서 벗어나게 배치되어 샤프트의 회전에 대해서 편향된 지지 부재의 회전을 생성할 수 있다.
추가적으로 개시된 실시예들은 필름 증착 챔버내에 적어도 부분적으로 배치된 틸팅-가능한(tilt-able) 기판 지지 조립체를 구비하는 반도체 프로세싱 시스템을 포함한다. 기판 지지 조립체는 기판 지지 부재, 상기 기판 지지 부재에 커플링된 샤프트, 상기 샤프트에 커플링되어 기판 지지 부재를 회전시키기 위한 모터를 포함한다. 기판 지지 부재는 기판 지지 부재가 회전될 때 요동(wobble)을 생성하기 위해서 샤프트에 대해서 틸팅된 기판을 지지할 수 있다.
이하에서는, 추가적인 실시예들 및 특징들이 일부 직접적으로 설명되며, 또 일부는 상세한 설명을 통해서 소위 당업자들이 용이하게 이해할 수 있을 것이며, 또는 일부는 개시된 실시예들의 실시를 통해서 학습할 수도 있을 것이다. 개시된 실시예들의 특징들 및 이점들은 상세한 설명에 기재된 방법, 기구 및, 조합에의해서 구현되고 획득될 수 있을 것이다.
도면 및 나머지 부분의 상세한 설명을 참조할 때, 개시된 실시예들의 특징 및 이점들을 보다 잘 이해할 수 있을 것이며, 상기 도면들에서는 유사한 구성요소에 대해서는 유사한 참조 부호로 표시하였다. 몇몇 경우에, 참조 부호에 하위 포식을 부여하였고 다수의 유사한 구성요소들 중 하나에 대해서는 하이픈(hyphen)을 사용하였다. 현존하는 하위 표식에 대한 특별한 언급이 없이 참조 부호를 사용한 경우에, 이는 모든 다수의 유사 구성요소들 전부를 언급하는 것으로 이해될 수 있을 것이다.
본 발명의 실시예는 프로세싱 챔버 내부에서의 프로세싱 동안에 기판의 회전을 허용하도록 개선된 기판 지지 조립체를 포함한다. 사실상 회전은 모든 기판 프로세싱 단계들에서 바람직한데, 이는 그러한 회전이 보다 균일한 프로세스를 가능하게 하기 때문이다. 증착 프로세스에 포함되는 반응제들이 낮은 또는 일시적인(transient) 표면 이동성을 가지는 경우에, 웨이퍼를 회전시키는 것은 보다 균일한 필름을 형성하는데 있어서 특히 도움이 될 것이다. 결과적으로, 개시된 실시예들은 기판 재유동 단계 및 증착 온도의 감소에 도움이 될 것이며, 그에 따라 열비용을 다른 곳에서 사용할 수 있을 것이다. 개시된 실시예는 모든 물질(예를 들어, 금속, 반도체 및 절연 물질)의 증착에 적합하다.
챔버 외부에 위치된 모터를 이용하여 프로세싱 챔버 내의 기판을 회전시킬 수 있는 능력을 제공하기 위해서는 회전식 시일을 필요로 한다. 하나의 또는 바람직하게는 그 이상의 O-링을 이용하는 회전식 시일 조립체가 특별하게 디자인될 수 있고 또는 상업적으로 획득될 수 있을 것이며, 다양한 물질로 제조될 수 있을 것이 다. 프로세스 챔버가 내부 압력을 외부 압력과 크게 차이나도록 유지하기 위해서는 압력이 O-링 시일에 대해서 인가되어야 한다. 기계적인 힘이 O-링을 압축하기 위해서 가해지며, O-링의 탄성에 의해서 시일이 형성된다. 기계적인 힘이 중력에 의해서, 조절가능한 체결 기구(예를 들어, 볼트)에 의해서, 또는 실질적으로 균등한 다른 여러가지 수단에 의해서 제공될 수 있다. O-링을 통칭되지 않는 압축가능한 시일도 사용될 수 있을 것이다.
하나의 다른 방법은 두 개의 동심적인 원통형 피스(piece) 내로 하나 이상의 O-링을 디자이닝하는 단계를 포함하며, 그리고 제조업자의 권장 압력이 인가되어 O-링을 압축할 수 있도록 내경 및 외경을 선택할 수 있도록 보장하는 단계를 포함한다. 도 2는 하나의 원통형 피스를 도시한다. 몇개의 퍼플루오르엘라스토머(perfluoroelastomer) O-링(Performance Sealing Inc.로부터 입수)(210)이 회전하는 기판 지지 샤프트 상에서 홈 내에 구속된(confined) 상태로 도시되어 있다. 회전 용도에 맞는 실링 제품을 선택하는 것이 중요하다. 그러한 O-링은 윤활제내에 매립되어 또는 다른 방식으로 마찰을 감소시킨 Teflon® 자켓(jackets), Teflon® 코팅을 가질 수 있다(대안으로서, Ferrotc이 공급하는 Ferrofluidic® 시일을 포함한다). 이러한 실시예에서, 조립 프로세스 중에, 외측 실린더가 구속된 O-링의 위쪽에 배치되어 프로세스 시일을 형성한다. 다른 실시예에서, O-링은 외측 실린더(도시 하지 않음) 내에 구속될 수 있다.
도 2에서, 회전식 시일은 기판 지지 받침대와 함께 회전하는 O-링이다. 일부 실시예들에서, 도시된 기판 지지 조립체는 기판 지지 샤프트의 축선을 따라서 전후로(예를 들어, 상하로) 이동될 수 있다. 이는, 일부 프로세스에서 그리고 로봇 조작에 있어서 유용한 파라미터가 될 수 있을 것이다. 또한, 회전식 시일이 고정형 메이팅 피스(mating piece)(도시 하지 않음) 상에 위치될 수 있다. 비록, 그러한 구성에서 O-링이 고정형이지만, 여전히 회전식 시일이라고 지칭된다.
다시 도 2를 참조하면, 두 개의 인접한 O-링을 도면부호 '210'으로 표시하였다. 상부 O-링의 위쪽의 영역은 프로세싱 챔버 내부에 인접하거나 그 일부인 반면, 바닥의 O-링의 아래쪽 영역은 대기압일 수 있다. 챔버 내부의 압력이 챔버 외부의 압력과 동일하거나 상이한지의 여부에 관계 없이, 오염물질이 프로세스 챔버내로 유입될 가능성을 낮추기 위해서 두 개의 인접하는 O-링 사이의 영역에 진공을 인가하는 것이 바람직하다. 그에 따라, 두 개의 O-링 시일 사이에 펌핑 포트가 부착되어 그 영역을 배기할 수 있다. 이러한 기술을 차등 펌핑이라고 지칭하며, 최적의 조건하에서 또는 제 1 O-링 시일에 문제가 있는 경우에 챔버 외부로부터의 공기 누설이 프로세싱 영역내에서 발생되는 것을 방지하는데 도움이 될 수 있다. 타등 펌핑은 하나의 위치 이상(예를 들어, 3개의 오링들의 각 쌍들 사이)에서 이루어질 수 있다. 이는, 일부 물리기상증착(PVD) 프로세스들에서와 같이 특히 낮은 누설률(그러한 챔버들은 일반적으로 낮은 기본 압력이 낮은, 예를 들어 < 10-5 Torr의 기본 압력을 가질 것이다)이 유리한 프로세스에서 특히 바람직할 것이다. 여기에서 그리고 본 명세서 전체에서, 진공이라는 용어는 다양한 배기된(evacuated) 영역을 설명하기 위해서 사용되었다. 진공은 반드시 모든 가스 또는 유체가 없는 것을 의미하는 것이 아니며, 진공은 다양한 이점을 제공하기 위해서 1 기압(760 Torr) 미만의 압력에서 유지될 수 있다는 것을 의미한다.
조립된 실시예가 도 3에 도시되어 있으며, 여기에서 압축된 O-링(310)이 회전가능한 기판 지지 샤프트(340)와 고정형 회전식 시일 하우징(350) 사이에 시일된 상태를 도시하고 있다. 3개의 진공 연결부들이 도 3에 도시되어 있으며, 그들 중 2개의 진공 연결부(321, 324)는 프로세싱 챔버로 유입될 수 있는 공기 또는 가스의 영역을 비우기 위한 것이다. 진공 연결부(324)는 고정형 회전식 시일 하우징의 상부 플랜지 사이의 시일에서 공기의 포획된 부피 또는 누설을 배출하기 위한 것이다. 진공 연결부(321)는 도 2와 관련하여 전술한 차등 펌핑 포트이며, 그러한 펌핑 포트는 '360' 아래쪽으로부터 프로세싱 영역으로 공기가 유입되는 것에 대한 제 2 방어선을 제공한다. 일부 대안적인 구성은 퍼지 포트로서 이들 포트를 바람직하게 이용할 수 있으며, 이때 불활성 가스(N2)가 영역(예를 들어, 324)을 통해서 유동하여 반응 종(species)을 대체(displace)할 수 있을 것이다.
도 3의 나머지 진공 연결부(327)가 일부 실시예들에서 존재하고, 회전가능한 기판 지지 샤프트(340)의 둘레 주위로 진공을 제공하며, 상기 진공은 샤프트 내의 개구를 통과하며(특히 회전 위치에 관계 없이), 상기 개구는 회전 중에 기판을 받침대에 대해서 "척킹" 또는 홀딩하는데 진공이 이용될 수 있게 허용한다. 이러한 타입의 연결은 회전 유체 유니언 또는 회전 유체 커플링이라고 지칭되며, 전술한 바와 같이, 진공에 대해서도 이용될 수 있으나, 또한 가스 또는 액체의 유동을 안 내하기 위해서도 이용될 수 있다. 도 3의 진공 용도의 경우에, 프로세싱 챔버 내의 압력이 받침대 부근에서 진공 펌프가 생성하는 압력 보다 높다면, 기판 척킹이 이루어질 것이다. PVD와 같은 저압 프로세스에서는 진공 척킹이 매우 유용하지는 않지만, 0.5 Torr 또는 그 이상의 프로세스 압력을 채용하는 많은 프로세스들(예를 들어, Alectrona)은 기판 홀딩을 위해서 이러한 방법을 이용할 수 있다. 모두 3개의 진공 연결부들이 90° 피팅(fittings) 및 압축 피팅 연결부를 구비하는 것으로 도시되어 있지만, 다른 구성 방법도 가능할 것이다.
보다 완전한 기판 지지 조립체가 도 4에 도시되어 있으며 본 발명에 따른 실시예를 나타낸다. 차등 펌핑 포트(421) 및 진공 척킹 포트(427)가 도시되어 있다. 이러한 실시예에서, 기판 온조 조정을 허용하기 위해서 추가적인 포트들 및 구성요소들이 부가될 수 있을 것이다. 그러한 조정이 가능하도록 하기 위해서, 이러한 실시예는 (예를 들어, Deublin Company로부터) 상업적으로 이용가능하고 고정 유체 연결부(404)를 구비하는 한 회전 유체 유니언을 포함한다. 냉각 유체는 회전 유니언을 통해서 유동하고, 교호적인(alternate) 채널을 통해서 복귀되고 회전 유니언(408)을 통해서 배출되기에 앞서서 기판 지지 샤프트 및 부재(또는 이러한 실시예에서는 받침대)(412)를 통과한다. 기판 프로세싱에서의 통상적인 적용은 기판의 온도를 감소시킬 것이나, "냉각 유체"를 이용하여 받침대를 가열할 수도 있을 것이다. 본 명세서에서 유체라는 용어의 표준적인 정의가 사용되었으며, 유체는 액체, 가스 또는 이들의 조합일 수 있다. 그에 따라, 예를 들어, 회전 유체 커플링을 이용하여 냉각 유체를 커플링할 수 있으며, 또한 가스나 진공을 커플링할 수도 있다.
냉각 유체는 넓은 범위의 유체일 수 있으며, 실시예들에서, 단순한 물일 수도 있고 또는 예를 들어 에틸렌 글리콜과의 조합일 수도 있다. 장치의 유효 수명을 최대화하기 위해서, 냉각 유체 채널의 내부 벽이 어떠한 사용 유체와도 양립(compatible)될 수 있는 것이 바람직하다. 기판 온도는 여러 실시예에서 5℃ 내지 120℃, 또는 20℃ 내지 60℃의 요구 온도에서 유지될 수 있다. 냉각 유체 온도는 순환하는 냉각제(예를 들어, Thermo Scientific)에 의해서 제어된다. 일반적으로, 재순환되는 유체가 재순환 냉각기(chiller) 내에서 냉각될 것이지만, 가열될 수도 있을 것이고 또 기판의 온도를 높이기 위해서 사용될 수도 있을 것이다.
동일한 그리고 다른 실시예들에서, 냉각 유체를 이송하여 실링 메카니즘을 냉각시킴으로써 마찰 가능성을 줄이기 위해서 회전 유체 유니언을 이용할 수 있으며, 그러한 열이 더해지면 회전 시일을 열화(劣化)시킬 것이다. 이러한 기능성이 도시된 실시예가 도 5에 도시되어 있다. 이러한 실시예에서, 회전 유체 유니언(508)이 회전 시일 하우징(550)에 밀접하게 위치된다. 냉각 유체를 지향(directing)시키기 위한 채널들이 기판 지지 샤프트 내에로 디자인되어, 회전 시일 영역 내의 순환을 허용할 수 있다. 두 개의 고정 유체 연결부(504) 중 하나가 도시되어 있다. 차등 펌핑 포트(521) 역시 도시되어 있다.
일부 실시예에서, 가열, 냉각, 기판 온도 측정, 기판 포텐셜 바이어싱, 및 기판 지지 부재에 대한 기판의 정전기적 척킹을 포함하는 다양한 목적을 위해서, 회전 전기 공급-관통부(feed-throughs)가 이용될 수 있다. 이러한 다양한 용도는 기판 지지 조립체에 통합되는 회전 전기 공급-관통부의 선택에 대한 제약을 가한 다. 이들 용도의 일부는 높은 전류(예를 들어, 저항식 샘플 가열), 높은 전압(예를 들어, 정전기적 척킹), 및/또는 적은 소음(예를 들어, 열전쌍 출력)을 필요로 할 수 있다. 예를 들어, 하나의 개시된 실시예에서, 저항식 히터가 기판 지지 받침대 내에 또는 그에 근접하여 배치되어 기판을 100℃ 내지 900℃로 가열할 수 있다. 회전 전기 공급-관통부에 대한 대안적인 수단이 회전 전기 커플링 또는 유니언을 포함한다.
회전 전기 공급-관통부가 도 5에 도시되어 있다. 고정 전기 콘택(531)이 대응하는 회전 전기 콘택(533)으로의 전기 연결을 제공한다. 적절한 회전 전기 전도 메카니즘은 금속 브러시, 금속 부싱, 볼-베어링, 롤링 링, 및 액체 수은을 포함한다. 슬라이딩 금속 브러시들이 사용될 수 있으며, 이때 각 브러시가 독립된 금속 링과 접촉하고 구분되는(distinct) 전기적 신호를 전달하며 및/또는 구분되는 전기 공급을 제공한다. 다른 타입의 전기 콘택도 유사한 방식으로 다수의 신호를 공급할 수 있다. 다른 실시예에서, 회전 전기 콘택은 "롤링 링"에 의해서 제공되며, 이때 전도 디스크는 그 디스크의 직경 보다 큰 내경을 가지는 전도 튜브 내부에서 회전된다. 본질적으로 일정한 콘택이 콘택의 이동 지점 부근에서 만들어진다. 다른 실시예는 구속된 수은 배스(bath)를 통해 두 개의 고체 전도 피스를 회전시킴으로써 회전 전기 콘택을 제공한다. 이러한 경우에, 전력 또는 전기 신호가 액체를 통해서 전달된다.
전술한 모든 메카니즘은 전술한 용도에 필요한 전류 및 전압을 공급하도록 엔지니어링될 수 있다. 그러나, 액체 수은 유니언을 이용하는 것은 전기 저항의 불균일도를 감소시키며, 이는 프로세싱 시스템으로부터 작은(small) 열전쌍 신호도 열화(劣化)가 적은 상태로 출력될 수 있게 한다. 회전 중의 전기 저항의 불균일성을 최소화하는 것은 또한 스파클링 가능성도 감소시키며, 그러한 스파클링은 회전 전기 유니언의 부품들의 유효 수명을 단축시킬 수 있다. 실시예들에서 회전 전기 유니언 모두를 회전 시일의 대기측에 배치할 수 있으며, 그러한 배치는 실시예들에서 회전 전기 유니언이 진공과 양립되도록 구성할 필요성을 제거한다. 따라서, 공급-관통부라는 용어는, 회전 전기 유니언을 설명하기 위해서 사용되는 경우에, 일측에서 진공을 유지하고 타측에서 대기압을 유지할 수 있는 연결을 설명하기 위한 것으로 제한되지 않는다.
연결 메카니즘 또는 배치와 관계 없이, 하나 이상의 전기 연결이 하나의 회전 유니언 내에서 이루어질 수 있다. 저항 입력을 이용하여 기판을 가열하기 위해서 그리고 열전쌍을 모니터링함으로써 온도를 판독하기 위해서, 4 개의 연결 유니언이 사용될 수 있다. 전기적 스펙(specification)을 충족시킬 수 있다면, 가능한 한 큰 탄력성(flexibility)을 제공할 수 있도록 많은 전기적 연결부들을 가지는 것이 바람직할 것이다.
전기 모터는 프로세싱 챔버 외부에서 기판 조립체를 회전시키는데 사용될 수 있으며, 그러한 회전은 기판 받침대 및 기판(존재하는 경우에)을 챔버 내부에서 회전시킬 것이다. 모터는 기판 조립체의 샤프트에 동축적으로 부착될 수 있으나, 하나의 또는 몇개의 기어, 벨트, 체인 또는 균등한 링키지를 이용하여 커플링될 수도 있을 것이다. 받침대가 알고 있는 각위치로 놓여질 수 있다면, 기판을 챔버 내외 로 이송하기가 보다 용이할 것이다. 이러한 결과로, 모터는 회전 후에 특정 각도로 경사질 수 있어야 한다("호밍(homing) 특성을 가진다라고도 한다). 일부 상업적으로 이용가능한 모터들은 회전 시간이 종료되면 자동적으로 호밍될 수 있다. 그러한 모터는 레시피(recipe)에서의 각 단계 또는 각 레시피 후에 호밍될 수 있을 것이다. 실시예들에서, 모터는 중공의 샤프트 모터 또는 중공의 기어 모터(예를 들어, Oriental motor 또는 Sanyo Denki motor가 제공하는 모터)이다. 중공의 기어 모터는 적은 프로파일(low profile)로 높은 토크를 제공하고 양호한 각도 제어를 가능하게 한다. 그러한 모터는 도 4(418) 및 도 5(518의 기판 지지부 조립체와 통합되어 도시되어 있다. 모터가 회전될 때 그 회전 속도 및 가속도를 제어할 수 있도록 소프트웨어가 작성될 수 있다.
설명된 실시예들의 이용 결과가 도 6에 대표적으로 도시되어 있다. 유리 필름의 두께를 그들의 평균 값으로부터의 편차로서 도시한 49-포인트 원형 기판(즉, 웨이퍼)이 도시되어 있다. 이들 특별한 필름은 좁은 갭을 충진하도록 디자인된 프로세스를 이용하여 성장된 실리콘 산화물 필름이다(Applied Materials가 제공하는 Alectrona® 프로세스). 두 개의 물리적으로 구분되는 경로들을 이용하여 산화 전구체 및 유기-실란 전구체들의 공급부들을 도입하였으며, 이는 기판 표면에 또는 그 부근에 도달할 때까지 반응이 일어나지 않게 한다. 산화 전구체는 원격 플라즈마 시스템에 의해서 사전-프로세싱되어 산소 라디칼을 생성한다. 실선(625)은 각 산화물 필름이 모든 49 지점의 평균과 유사한 평균 두께를 가지는 근접 위치들을 나타낸다. 일정한 두께의 다른 라인들은 플러스 및 마이너스 신호에 각각 인접하 여 작성된 보다 두꺼운 그리고 보다 얇은 값을 나타낸 것이다. 이러한 측정 중에 약 3 mm의 엣지는 배제하였다.
회전 없이(도 6의 좌측에 도시됨), 증착된 필름은 조밀하게 이격된 많은 수의 라인들을 나타내고, 이는 필름 두께의 급격하고 큰 변화를 나타낸다. 단지 10 RPM의 매우 약한 회전을 제공하면, 매우 상이한 결과(도 6의 우측 참조)가 나타난다. 동일-두께 라인들의 수가 감소되고 간격이 넓어진다. 많은 라인들이 기본적으로 원형 패턴을 나타내며, 이는 증착의 예상되는 회전 대칭을 나타낸다. 단순한 통계적 비교(도 6에서 두 개의 웨이퍼 맵으로 도시된 바와 같음)가 확실한 개선 효과를 보여준다. 좌측 컬럼(column)의 퍼센티지는 측정된 값들의 평균으로부터의 통계적 편차이다. 증착중에 회전되지 않은 웨이퍼에 대한 웨이퍼 맵은 39.6%의 표준 편차를 가진 반면, 회전된 웨이퍼에 대한 웨이퍼 맵은 3.0%의 상당히 낮은 측정 편차를 가졌다.
기판의 중심이 기판 지지 샤프트의 축선상에 있지 않도록 기판 지지 부재가 기판을 지지하게 구성함으로써 설명된 실시예들이 보다 구체화될 수 있을 것이다. 샤프트가 회전되는 시간에서, 기판은 회전할 것이고, 기판의 중심 역시 샤프트의 중심 둘레로 회전될 것이다. 다른 개시된 실시예에서, 기판의 축선(기판의 표면의 평면에 수직인 센터링된 라인)이 기판 지지 샤프트의 축선에 대해서 틸팅되며, 그 결과로 기판 지지 조립체가 회전됨에 따라 요동하는 외관(appearance)을 나타낸다. 이들 변형 실시예들은 기판에 대한 프로세스의 대칭을 감소시키며, 이는 증착된 필름의 두께와 같은 프로세싱 단계의 순수한 효과(net effect)를 균일화할 수 있을 것이다. 일 실시예에서, 샤프트 축선에 대한 기판 축선의 틸팅은 약 0.1° 미만이다.
실시예들에서, 이러한 틸팅은 레시피 단계의 일부로서 조정될 수 있을 것이다. 기판을 틸팅되지 않은 위치에서 하향 위치시키고 증착 전에 틸팅된 위치로 가게하는 것이 바람직할 것이다. 프로세싱의 완료 시에, 기판은 틸팅되지 않은 위치로 복귀될 수 있을 것이다. 기판 지지 부재의 일 측부를 상승시키는 하나 이상의 캡쳐된 플런져(captured plungers)내로 가스의 구동 압력을 공급하기 위해서 회전 유체 유니언 중 하나를 이용함으로써, 통상적인 받침대내로 이러한 디자인을 도입할 수 있을 것이다. 구동 압력의 제거시에, 받침대는 틸팅되지 않은 위치로 복귀된다.
예시적인 기판 프로세싱 시스템
증착 시스템의 실시예들이 집적 회로 칩을 제조하기 위한 대형 제조 시스템으로 통합될 수 있을 것이다. 도 7은 개시된 실시예에 따른 증착, 베이킹 및 경화 챔버들로 구성된 그러한 증착 시스템(700)들 중 하나를 도시한다. 도면에서, FOUPs(702) 쌍이 기판(예를 들어, 300 mm 직경 웨이퍼)을 공급하며, 그러한 기판을 로봇형 아암(704)이 받아서 웨이퍼 프로세싱 챔버(708a-f)들 중 하나내로 배치하기에 앞서서 저압 홀딩 영역(706)으로 위치시킨다. 제 2 로봇형 아암(710)을 이용하여 기판 웨이퍼를 홀딩 영역(706)으로부터 프로세싱 챔버(708a-f)로 그리고 역으로 이송할 수 있을 것이다.
프로세싱 챔버(708a-f)는 기판 웨이퍼상에 유동가능한 유전체 필름을 증착, 어닐링, 경화 및/또는 에칭하기 위한 하나 이상의 시스템 부품을 포함할 수 있다. 하나의 구성에서, 두 쌍의 프로세싱 챔버(예를 들어, 708c-d 및 708e-f)를 이용하여 기판 상에 유동가능한 유전체 물질을 증착할 수 있으며, 제 3 프로세싱 챔버(708a-b)의 쌍을 이용하여 증착된 유전체를 어닐링할 수 있을 것이다. 다른 구성에서, 동일한 두 개의 프로세싱 챔버(예를 들어, 708c-d 및 708e-f)의 쌍이 기판상에 유동가능한 유전체 필름을 증착하고 어닐링하도록 구성될 수 있는 한편, 제 3 프로세싱 챔버(708a-b)의 쌍은 증착된 필름의 UV 또는 E-비임 경화를 위해서 이용될 수 있을 것이다. 또 다른 구성에서, 모두 3개의 챔버(708a-f)의 쌍이 기판 상에 유동가능한 유전체 필름을 증착하고 경화시키도록 구성될 수 있다. 또 다른 구성에서, 동일한 두 개의 프로세싱 챔버(예를 들어, 708c-d 및 708e-f)의 쌍이 유동가능한 유전체의 증착 및 UV 또는 E-비임 경화를 위해서 이용될 수 있는 한편, 제 3 프로세싱 챔버(708a-b)의 쌍은 유전체 필름의 어닐링에 이용될 수 있을 것이다. 예상될 수 있는 바와 같이, 유동가능한 유전체 필름을 위한 증착, 어닐링 및 경화 챔버의 추가적인 구성을 시스템(700)이 포함할 수도 있을 것이다.
또한, 하나 이상의 프로세싱 챔버(708a-f)가 습윤(wet) 처리 챔버로서 구성될 수 있을 것이다. 이들 프로세스 챔버들은 습기를 포함하는 대기중에서 유동가능한 유전체 필름을 가열하는 것을 포함한다. 그에 따라, 시스템(700)의 실시예는 증착된 유전체 필름에 대한 습윤 및 건식 어닐링 모두를 실시하기 위해서 습윤 처리 챔버(708a-b) 및 어닐링 프로세싱 챔버(708c-d)를 포함할 수 있다.
도 8은 예시적인 프로세싱 시스템(850)의 다른 실시예를 도시하며, 여기에서 측면 노즐(853)의 위쪽에 배치된 천공형 플레이트(852)가 전구체들을 상부 유입구(854)로부터 분배한다. 천공형 플레이트(852)는 플레이트의 두께를 가로지르는 다수의 개구부들을 통해 전구체들을 분배한다. 플레이트는 도 1의 배플(124)과 함께 작동되거나 그 배플을 대체할 수 있다. 플레이트(852)는, 예를 들어, 약 10 내지 2000 개의 개구부(예를 들어, 200 개의 개구부)를 구비할 수 있다. 도시된 실시예에서, 천공형 플레이트는 원자 산소와 같은 산화 가스 및/또는 TMOS 또는 OMCTS와 같은 산소-함유 가스를 분배할 수 있다. 도시된 구성에서, 산화 가스는 실리콘 함유 전구체의 위쪽에서 증착 챔버내로 도입되며, 그러한 전구체들은 또한 (측면 노즐(853)로부터) 증착 기판의 위쪽으로 도입될 수 있다.
이상의 내용은 본 발명의 특정 실시예를 설명한 것으로서, 여러 가지 개량 실시예, 변형예, 및 대안적인 실시예들도 가능할 것이다. 추가적으로, 본 발명을 불필요하게 불명확하게 하는 것을 피하기 위해서, 많은 수의 주지의 프로세스들 및 요소들에 대해서는 설명하지 않았다. 따라서, 상기 설명들은 본 발명의 범위를 제한하는 것으로 간주되지 않아야 할 것이다.
수치 범위가 제공된 경우에, 다른 명확한 기재가 없으면 하한 값 보다 한 자리수가 낮은 단위까지, 그 수치 범위의 상한 및 하한 사이의 각각의 중간 값도 포함한다는 것을 이해하여야 한다. 어떠한 규정된 값 또는 규정된 범위내의 중간 값과 다른 규정된 값 또는 다른 규정된 범위내의 중간 값 사이의 각각의 보다 작은 범위도 본 발명에 포함된다. 이러한 보다 작은 범위의 상한 및 하한은 각각 독립 적으로 상기 범위에 포함되거나 또는 포함되지 않을 수 있으며, 상기 규정된 범위에서 임의 한계를 특정하여 배제한다면, 상기 상한 및 하한들 중 어느 것도 상기의 보다 작은 범위에 포함되지 않는 각각의 범위 또는 그 상한 및 하한들 중 하나 이상이 상기의 보다 작은 범위에 포함되는 각각의 범위 역시 본 발명에 포함될 수 있다. 규정된 범위가 한계들 중 하나 이상을 포함하는 경우에, 포함된 한계들 중 하나 또는 모두를 배제하는 범위 역시 본 발명에 포함될 수 있다.
상세한 설명 및 특허청구범위에서 사용된 바와 같이, 다른 명백한 기재가 없는 한, 단수 형태의 표현 및 단복수 형태를 특별히 표시하지 않은 표현은 복수 형태도 포함하는 것으로 해석되어야 한다. 그에 따라, 예를 들어, "프로세스"는 다수의 프로세스들을 포함할 수 있으며, "모터"는 하나 이상의 전구체 및 소위 당업자에게 공지된 균등물 등을 포함할 수 있다.
또한, 상세한 설명 및 특허청구범위에서 사용되는 "포함", "구비" 등의 용어는 규정된 특징들, 정수들, 성분들 또는 단계들의 존재를 특정하기 위한 것이지, 하나 이상의 다른 특징들, 정수들, 성분들, 단계들, 또는 그룹의 존재나 추가를 배제하기 위한 것은 아니다.
도 1은 분리된 산화 전구체 및 유기-실란 전구체를 이용하여 필름을 증착하기 위한, 증착 챔버 내의 종래 기술의 프로세싱 영역 그리고 원격 플라즈마 영역을 도시한 도면이다.
도 2는 본 발명의 실시예에 따른 기판 지지 조립체의 측면도이다.
도 3은 샤프트 하우징 내부의 기판 지지 샤프트(기판 지지 조립체의 일부)의 단면도이다.
도 4는 본 발명의 실시예에 따라서 회전식 유체 커플링, 샤프트 및 기판 지지 부재를 통해서 유동하는 온도 제어된 유체와 함께 기판 지지 조립체를 도시한 도면이다.
도 5는 본 발명의 실시예에 따라서 냉각 유체가 회전 유체 커플링을 통해서 유동하고 기판 지지 샤프트의 회전식 실링 영역을 냉각하는 기판 지지 조립체를 도시한 도면이다.
도 6은 산화물 필름의 증착 동안에 본 발명의 실시예에 따라 10 RPM의 기판 회전 상태에서 그리고 회전되지 않는 상태에서의 49-포인트 측정 맵(map)을 도시한 도면이다.
도 7은 본 발명에 따른 기판 프로세싱 시스템을 도시한 도면이다.
도 8은 본 발명에 따른 기판 프로세싱 챔버를 도시한 도면이다.

Claims (18)

  1. 반도체 프로세싱 시스템으로서:
    챔버 외부 압력과 상이할 수 있는 내부 챔버 압력을 유지할 수 있는 내부를 가지는 프로세싱 챔버;
    상기 챔버에 커플링되고 상기 프로세싱 챔버로부터 물질을 제거하도록 구성된 펌핑 시스템;
    상기 프로세싱 챔버 내부에서 기판을 지지하도록 구성된 기판 지지 부재와, 회전방향으로 고정된(rotationally rigid) 방식으로 상기 기판 지지 부재에 커플링되고 상기 프로세싱 챔버에 대해서 상대적으로 회전될 수 있는 기판 지지 샤프트를 포함하는 기판 지지 조립체;
    상기 기판 지지 샤프트에 커플링되고 상기 기판 지지 조립체를 1 RPM 내지 2000 RPM의 회전 속도로 회전시키도록 구성된 모터;
    상기 기판 지지 샤프트와 상기 프로세싱 챔버 사이에 커플링되고, 상기 기판 지지 조립체가 회전될 때에도 상기 챔버 외부 압력과 상이한 내부 챔버 압력을 시스템이 유지할 수 있게 허용하는 하나 이상의 회전 시일;
    상기 프로세싱 챔버 내부의 하나 이상의 회전 채널과 하나 이상의 고정 채널 사이에서 유체를 안내하도록 구성된 하나 이상의 회전 유체 커플링; 그리고
    상기 프로세싱 챔버 내부의 하나 이상의 회전가능한 전도체와 상기 프로세싱 챔버 외부의 하나 이상의 고정 전도체 사이에서 전기가 통할 수 있게 허용하도록 구성된 회전 전기 공급-관통부를 포함하는
    반도체 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 하나 이상의 회전 시일이 둘 이상의 회전 시일을 포함하고,
    차등 펌핑 포트가 상기 둘 이상의 회전 시일들 사이로부터 가스를 제거하기 위한 채널을 제공하도록 구성되는
    반도체 프로세싱 시스템.
  3. 제 1 항에 있어서,
    상기 회전 전기 공급-관통부는 상기 기판 지지 부재 및 기판의 온도를 높이기 위한 가열 소오스를 제공하는 기판 지지 부재 부근의 히터로 전력을 제공하는데 이용되는
    반도체 프로세싱 시스템.
  4. 제 1 항에 있어서,
    상기 회전 전기 공급-관통부가 상기 기판 지지 부재의 정전기적 척킹 메카니 즘으로 전압을 제공하기 위해서 이용되는
    반도체 프로세싱 시스템.
  5. 제 1 항에 있어서,
    상기 회전 속도가 약 10 RPM 내지 약 120 RPM 사이인
    반도체 프로세싱 시스템.
  6. 제 1 항에 있어서,
    상기 모터가 샤프트를 시계방향 및 반시계방향 모두로 회전시키도록 구성되는
    반도체 프로세싱 시스템.
  7. 제 1 항에 있어서,
    상기 하나 이상의 회전 유체 커플링 중 2개를 이용하여 회전하는 기판 지지 조립체를 통해서 온도 제어된 유체를 순환시키는
    반도체 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 기판 지지 부재 및 상기 기판의 온도를 감소시키기 위해서, 상기 온도 제어된 유체가 상기 기판 지지 샤프트 내의 채널을 통해서 유동하는
    반도체 프로세싱 시스템.
  9. 제 7 항에 있어서,
    하나 이상의 상기 회전 시일을 냉각하기 위해서, 상기 온도 제어된 유체가 상기 기판 지지 샤프트 내의 채널을 통해서 유동하는
    반도체 프로세싱 시스템.
  10. 제 1 항에 있어서,
    상기 기판 지지 부재가 상기 기판 지지 부재 상의 기판을 척킹할 수 있도록 하기 위해서, 상기 하나 이상의 회전 유체 커플링 중 하나가 상기 기판 지지 샤프트를 통해서 진공을 안내하는데 이용되는
    반도체 프로세싱 시스템.
  11. 제 1 항에 있어서,
    액체 수은, 금속 브러시, 금속 부싱, 볼-베어링, 및 롤링 링으로 이루어진 그룹으로부터의 하나 이상을 이용하여, 상기 회전 전기 공급-관통부가 회전 전기 연결부를 만드는
    반도체 프로세싱 시스템.
  12. 제 1 항에 있어서,
    상기 기판이 원형이고,
    상기 기판이 회전될 때 상기 기판의 중심이 상당량(significantly) 회전하지 않도록, 상기 기판의 중심이 상기 기판 지지 샤프트의 축선상에 위치되는
    반도체 프로세싱 시스템.
  13. 제 1 항에 있어서,
    상기 기판이 원형이고,
    상기 기판 지지 샤프트가 회전될 때 상기 기판의 중심이 회전하도록, 상기 기판의 중심이 상기 기판 지지 샤프트의 축선상에 위치되지 않는
    반도체 프로세싱 시스템.
  14. 제 1 항에 있어서,
    상기 기판이 원형이고,
    상기 기판 지지 샤프트가 회전될 때 요동이 발생되도록, 상기 기판의 축선이 상기 기판 지지 샤프트의 축선에 대해서 틸딩되는
    반도체 프로세싱 시스템.
  15. 제 14 항에 있어서,
    상기 기판 축선의 틸팅이 상기 기판 지지 샤프트의 축선으로부터 약 0.1° 또는 그 미만인
    반도체 프로세싱 시스템.
  16. 제 14 항에 있어서,
    상기 기판 축선의 틸팅이 필름 증착 동안에 조정될 수 있는
    반도체 프로세싱 시스템.
  17. 제 14 항에 있어서,
    필름 증착 중에 상기 기판이 틸팅되지 않은 위치로부터 틸팅된 위치로 조정될 수 있는
    반도체 프로세싱 시스템.
  18. 제 1 항에 있어서,
    상기 시스템이 상기 기판 지지 부재를 상승 및 하강시키기 위해서 상기 샤프트에 커플링된 승강 메카니즘을 포함하는
    반도체 프로세싱 시스템.
KR1020080110380A 2007-11-08 2008-11-07 필름 균일도를 위한 회전형 온도 제어식 기판 받침대 KR101140017B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US98632907P 2007-11-08 2007-11-08
US60/986,329 2007-11-08
US12/111,817 2008-04-29
US12/111,817 US20090120368A1 (en) 2007-11-08 2008-04-29 Rotating temperature controlled substrate pedestal for film uniformity

Publications (2)

Publication Number Publication Date
KR20090048355A true KR20090048355A (ko) 2009-05-13
KR101140017B1 KR101140017B1 (ko) 2012-07-09

Family

ID=40345034

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080110380A KR101140017B1 (ko) 2007-11-08 2008-11-07 필름 균일도를 위한 회전형 온도 제어식 기판 받침대

Country Status (7)

Country Link
US (1) US20090120368A1 (ko)
EP (1) EP2058849A3 (ko)
JP (1) JP2009117845A (ko)
KR (1) KR101140017B1 (ko)
CN (1) CN101527254B (ko)
SG (1) SG152212A1 (ko)
TW (1) TW200941615A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160040519A (ko) * 2013-06-19 2016-04-14 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 회전 모터, 대안적인 회전

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2013074345A1 (en) 2011-11-18 2013-05-23 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN103774118B (zh) * 2012-10-17 2016-03-02 理想能源设备(上海)有限公司 基片承载装置及金属有机化学气相沉积装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10351956B2 (en) 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
KR101542905B1 (ko) * 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치
WO2015116350A1 (en) * 2014-01-29 2015-08-06 Applied Materials, Inc. Low temperature cure modulus enhancement
TWI665753B (zh) * 2014-06-05 2019-07-11 美商應用材料股份有限公司 多晶圓旋轉料架ald中的集成兩軸升降旋轉電動機的中央基座
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
TWI549155B (zh) * 2014-09-04 2016-09-11 技術發現者聯合有限公司 半導體裝置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
TWI616976B (zh) * 2015-06-05 2018-03-01 瓦特洛威電子製造公司 高熱傳導晶圓支撐臺座裝置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN109154083B (zh) * 2016-03-03 2021-02-05 核心技术株式会社 薄膜形成装置用基板托盘
NL2017773B1 (en) * 2016-11-11 2018-05-24 Suss Microtec Lithography Gmbh Positioning device
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
TWI765936B (zh) * 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
US10704147B2 (en) 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
CN107475689A (zh) * 2017-08-28 2017-12-15 常州亿晶光电科技有限公司 一种改善理想机台沉积ALOx膜均匀性的方法
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
CN108315720A (zh) 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
JP7292919B2 (ja) 2018-09-27 2023-06-19 東京エレクトロン株式会社 基板処理装置
US11199562B2 (en) 2019-08-08 2021-12-14 Western Digital Technologies, Inc. Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same
EP4013905B1 (en) 2019-08-12 2023-02-22 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法
CN113707578B (zh) * 2021-08-30 2023-07-04 重庆电子工程职业学院 一种便于封装集成电路芯片的封装装置及其使用方法

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US277734A (en) * 1883-05-15 New jbksey
US80057A (en) * 1868-07-21 william hawksworth
US144490A (en) * 1873-11-11 Improvement in car-couplings
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
JPS5775738U (ko) * 1980-10-27 1982-05-11
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) * 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
US5081069A (en) * 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) * 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5966595A (en) * 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
DE19629705A1 (de) * 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
JP3792417B2 (ja) * 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
JP2000311769A (ja) * 1999-04-28 2000-11-07 Kyocera Corp 円盤状ヒータおよびウエハ加熱装置
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
WO2001048800A1 (fr) * 1999-12-24 2001-07-05 Ebara Corporation Procede et appareil de traitement de tranche de semi-conducteur
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6696362B2 (en) * 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
JP4666912B2 (ja) * 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6634650B2 (en) * 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP2003297912A (ja) * 2002-03-28 2003-10-17 Hitachi Kokusai Electric Inc 基板処理装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7018555B2 (en) * 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
JP2004207545A (ja) * 2002-12-26 2004-07-22 Hitachi Cable Ltd 半導体気相成長装置
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20050121145A1 (en) * 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (ja) * 2005-07-05 2011-11-09 積水化学工業株式会社 表面処理用ステージ構造
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
JP4395752B2 (ja) * 2004-12-22 2010-01-13 日本精工株式会社 回転保持装置
KR100782380B1 (ko) * 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
US7479210B2 (en) * 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) * 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
JP4847136B2 (ja) * 2006-01-17 2011-12-28 株式会社アルバック 真空処理装置
TW200739710A (en) * 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7514375B1 (en) * 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160040519A (ko) * 2013-06-19 2016-04-14 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 회전 모터, 대안적인 회전

Also Published As

Publication number Publication date
CN101527254A (zh) 2009-09-09
CN101527254B (zh) 2013-05-15
US20090120368A1 (en) 2009-05-14
EP2058849A3 (en) 2010-03-31
EP2058849A2 (en) 2009-05-13
TW200941615A (en) 2009-10-01
SG152212A1 (en) 2009-05-29
JP2009117845A (ja) 2009-05-28
KR101140017B1 (ko) 2012-07-09

Similar Documents

Publication Publication Date Title
KR101140017B1 (ko) 필름 균일도를 위한 회전형 온도 제어식 기판 받침대
US9484233B2 (en) Carousel reactor for multi-station, sequential processing systems
KR100246105B1 (ko) 반도체 웨이퍼의 처리장치
TWI529775B (zh) 負載閉鎖批式臭氧硬化
US6176198B1 (en) Apparatus and method for depositing low K dielectric materials
US20120251737A1 (en) Plasma-nitriding method
US20130047924A1 (en) Substrate processing apparatus and film deposition apparatus
US11427912B2 (en) High temperature rotation module for a processing chamber
US20070275568A1 (en) Insulation Film Forming Method, Insulation Film Forming System, And Semiconductor Device Manufacturing Method
CN111448646A (zh) 低温冷却的可旋转静电卡盘
EP3555910B1 (en) Rotatable electrostatic chuck having backside gas supply
WO1992007377A1 (en) Sacrificial metal etchback system
US20090194237A1 (en) Plasma processing system
US20150236566A1 (en) Integrated Two-Axis Lift-Rotation Motor Center Pedestal In Multi-Wafer Carousel ALD
KR102374532B1 (ko) 다중-웨이퍼 캐러셀 ald에서 통합된 2-축 리프트-회전 모터 중심 페디스털
JP7349832B2 (ja) セラミックハイブリッド絶縁プレート
TWI783445B (zh) 熱控制的蓋堆疊組件
TWI780369B (zh) 操作空間沉積工具的方法
US11646217B2 (en) Transfer apparatus and substrate-supporting member
KR101364196B1 (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치
KR102667399B1 (ko) 극저온 냉각식 회전가능 정전 척
KR20230101655A (ko) 기판 지지 유닛 그리고 기판 처리 장치
WO2002004134A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
EP1299195A1 (en) Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 8