JP2009117845A - 膜均一性のための回転温度制御基板ペデスタル - Google Patents

膜均一性のための回転温度制御基板ペデスタル Download PDF

Info

Publication number
JP2009117845A
JP2009117845A JP2008287978A JP2008287978A JP2009117845A JP 2009117845 A JP2009117845 A JP 2009117845A JP 2008287978 A JP2008287978 A JP 2008287978A JP 2008287978 A JP2008287978 A JP 2008287978A JP 2009117845 A JP2009117845 A JP 2009117845A
Authority
JP
Japan
Prior art keywords
substrate
substrate support
processing system
rotating
semiconductor processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008287978A
Other languages
English (en)
Other versions
JP2009117845A5 (ja
Inventor
Dmitry Lubomirsky
ルボミルスキー ドミトリー
Kirby H Floyd
エイチ. フロイド カービー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009117845A publication Critical patent/JP2009117845A/ja
Publication of JP2009117845A5 publication Critical patent/JP2009117845A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】真空成膜において、均一な膜を形成するための基板ペデスタルを提供する。
【解決手段】システムは、処理チャンバ及び処理チャンバ内に少なくとも部分的に配列された基板支持アセンブリを含み、基板支持アセンブリはモータによって回転され、電気、冷却流体、ガス、及び真空が処理チャンバ外の非回転源から処理チャンバ内の回転可能基板支持アセンブリへ供給される。冷却流体及び電気接続が使用され、基板支持アセンブリによって支持される基板の温度を上昇又は下降させることができる。電気接続は、基板を支持アセンブリへ静電吸着するためにも使用される。単数又は複数の回転シールが使用され、処理圧力を維持すると共に基板アセンブリを回転させる。真空ポンプは、基板を吸着するために使用される管接続口へ接続され、また、2つ以上の回転シールの1対の回転シール間の差動排気にも使用される。
【選択図】図1

Description

関連出願の相互参照
[0001]本出願は、2007年11月8日に出願された米国仮特許出願第60/986,329号の利益を主張する。本出願は、2007年5月29日に出願されて代理人事件整理番号第A10495/T68810号を有する米国特許出願第11/754,924号、2007年5月29日に出願されて代理人事件整理番号第A11100/T72410号を有する米国特許出願第11/754,916号、及び2007年5月29日に出願されて代理人事件整理番号第A11162/T72710号を有する米国特許出願第11/754,858号に関連する。上記の3つの全ての出願は、2006年5月30日に出願された米国仮特許出願第60/803,499号の利益を主張する。全てのこれら出願の全体の内容は、全ての目的のために参照により本明細書に組み込まれる。
分野
[0002]本出願は、薄膜及びコーティングの堆積、パターン化、及び処置で使用される機器、プロセス、及び物質に関与する製造技術解決法に関連し、代表的実施例は、(非限定的に)半導体及び誘電物質及びデバイス、シリコン・ベース・ウェーハ、及びフラットパネル・ディスプレイ(TFTなど)に関与する応用を含む。
背景
[0003]従来の半導体処理システムは、1つ以上の処理チャンバ、及び処理チャンバ間で基板を移動する手段を収容している。基板はロボットアームによってチャンバ間を移送されてもよい。ロボットアームは、伸長して基板を拾い上げ、撤退し、再び伸長して基板を別の目標チャンバに位置づける。各々のチャンバは、ペデスタル、又は処理のために基板を支持する何らかの同等の方途を有する。
[0004]ペデスタルは、基板を加熱するように構成された処理チャンバ内のヒータ板であってもよい。基板は、ロボットアームが基板を落として放す時と、アームが戻って基板を拾い上げる時との間で、機械的、圧力差動、又は静電手段によってペデスタルへ保持されてもよい。ロボット動作の間にウェーハを持ち上げるため、しばしばリフトピンが使用される。
[0005]1つ以上の半導体製作プロセス・ステップが、チャンバ内で遂行される。例えば、基板のアニール又は基板上の膜の堆積又はエッチングである。基板を横切るプロセス均一性は、常に考慮事項であり、或るプロセスでは特に難問になっている。下記の例は、欠陥を例証する助けとなる。誘電膜は、何らかの処理ステップの間、複雑な地形へと堆積されなければならない。狭いギャップの中へ誘電膜を堆積するため、多くの手法が開発されてきた。これら手法の中には、時にはプラズマ手法を採用する化学気相堆積手法の変形が含まれる。
[0006]高密度プラズマ(HDP)−CVDは、入来する反応物質の垂直衝突軌道及び同時のスパッタリング活動に起因する多くの形状を充填するために使用されてきた。しかしながら、何らかの非常に狭いギャップは、部分的に、初期インパクトに続く移動度の欠乏に起因して、ボイドの展開を継続してきた。堆積後の物質のリフローは、ボイドを充填することができるが、もし誘電物質が優越的に、例えば、SiOであれば、それは更にウェーハ熱履歴の無視できない部分を消費するかも知れない。
[0007]スピンオンガラス(SOG)のような流動可能物質は、高い表面移動度によって、HDP−CVDによって不完全に充填された何らかのギャップの充填に有用であった。SOGは液体として塗布され、塗布後にベークされて溶媒を除去し、よって物質を固体ガラス膜へ変換する。ギャップ充填及び平坦化能力は、粘度が低いときSOGについて向上されるが、これはキュア中の膜収縮が高い体制でもある。著しい膜収縮は、高い膜応力及び層間剥離の問題を結果する。特に、厚い膜の場合にそうである。
[0008]何らかの化学作用のため、酸化前駆物質及び有機シラン前駆物質の配送通路を分離することは、基板表面上でプロセス中に流動可能膜の作り出しを可能にする。膜は、どちらかと言えば、表面へ注がれるのではなく、成長させられるので、粘度を減少するために必要な有機成分はプロセス中に蒸発することを許され、これは現在の選択肢であるベーク・ステップと提携させられた収縮を低減する。分離のマイナス面は、堆積される膜が或る期間だけ基板表面上を自由に流れることである。前駆物質の有機含有物は、この時間の間、バイアス及び他の高アスペクト比形状が、歩留まり限定ボイドなしに充填されるよう制御されなければならない。もし成長膜の粘度が、あまりに急速に上がるならば、膜の均一性も悪影響を受ける。
[0009]図1は、酸化前駆物質と有機シラン前駆物質との分離の非常に簡単な実施形態を示す。数字は、処理中に存在する幾つかの要素を示す。酸化前駆物質(例えば、酸素(O)、オゾン(O)、...)は、プラズマ120によって励起されてもよい。プラズマ120は、他の通路(本明細書では、2つのパイプ110として示される)から到着するガスを直接励起することはないという意味で、「遠隔」にある。図1のパイプは有機シラン前駆物質(例えば、TEOS、OMCTS、...)を搬送し、2種類の前駆物質が処理領域130の少なくとも内側にあり、可能性として基板表面107に近いか上に来るまで、前駆物質間の化学反応を防止してもよい。基板は、ペデスタル・アセンブリ101及び105によって支持されるように示される。
[0010]注意すべきは、垂直管からの酸素の通路は、気流周り止め板124によって中断され得ることである。気流周り止め板124の目的は、基板表面上の不均質反応を阻止することである。不均質反応は、堆積された膜の特性及び厚さの均一性に悪影響を与える。管110の配置及び数を調節する試み、並びに配送ハードウェアへの一層有意な改変が行われてきたが、成功していない。
[0011]先ほど呈示された動機付けの例は、均一性の欠乏から悩まされる唯一の基板処理手法ではない。誘電膜堆積技術の中ですら、従来のPECVD及びHDP−CVDプロセスにおけるガス供給方法は、堆積均一性の欠乏を結果する。多様な基板処理ステップにおいて、均一性を更に改善する必要性が、技術分野で残っている。
簡単な概要
[0012]開示された実施形態は、処理チャンバ、及び少なくとも部分的に処理チャンバの中に配列された基板支持アセンブリを有する基板処理システムを含む。基板支持アセンブリは、モータによって回転可能である。そのような回転にも拘わらず、実施形態において、システムは依然として電気、冷却流体、ガス、及び真空が、処理チャンバの外側にある非回転源と処理チャンバの内側にある回転可能基板支持アセンブリとの間で移送されることを許す。電気の場合、回転導体が静止導体へ電気的に結合される。流体(ガス、液体、及び真空を含む)については、回転チャネルが静止チャネルへ流体的に結合される。基板支持アセンブリによって支持された基盤の温度を変更するため、冷却流体及び電気接続が使用され得る。電気接続は、ウェーハを支持アセンブリへ静電的に吸着するためにも使用され得る。1つ以上の回転シール(これは低摩擦Oリングであってもよい)が真空を維持するために使用され、その間に、依然として基板アセンブリの回転を許す。真空ポンプは、ウェーハ吸着するために使用される管接続口、又は回転シールを差動的にポンプするために使用される他の管接続口に接続され得る。
[0013]実施形態によっては、基板支持部材の中又は周りに、1つ以上の加熱要素が位置づけられる。実施形態によっては、支持部材及び基板の温度を低減するため、基板支持部材の中又は周りに、冷却要素が設置される。回転シールを冷却して回転シールの寿命を延ばすためにも、冷却要素が構成されてもよい。
[0014]支持アセンブリは、リフト機構を更に含んでもよい。リフト機構は、基板支持部材を上昇及び下降させるためシャフトへ結合される。
[0015]開示された実施形態は、偏心回転基板支持アセンブリを有する半導体処理システムを更に含んでもよい。偏心回転基板支持アセンブリは、少なくとも部分的に膜堆積チャンバの中に配列される。基板支持アセンブリは、基板支持部材、基板支持部材へ結合されたシャフト、及びシャフトへ結合されて基板支持部材を回転するモータを含んでもよい。シャフトは、基板支持部材の中心を外れて位置づけられ、シャフトの回転に対して支持部材の偏心回転を作り出してもよい。
[0016]追加の開示された実施形態は、傾斜可能基板支持アセンブリを有する半導体処理システムを含む。傾斜可能基板支持アセンブリは、少なくとも部分的に膜堆積チャンバの中に配列される。基板支持アセンブリは、基板支持部材、基板支持部材へ結合されたシャフト、及びシャフトへ結合されて基板支持部材を回転するモータを含んでもよい。基板支持部材は基板を支持してもよく、基板はシャフトに関して傾斜され、基板支持体が回転されるときに揺れを作り出す。
[0017]より多くの実施形態及び特徴部が、後続する説明の中で一部分を記述され、一部分は、明細書が吟味されたとき当業者に明らかとなるか、開示された実施形態の実施によって学習されてもよい。開示された実施形態の特徴部及び利点は、明細書の中で説明された方便、組み合わせ、及び方法によって実現及び達成されてもよい。
[0018]開示された実施形態の性質及び利点の更なる理解は、明細書及び図面の残りの部分を参照することによって実現されてもよい。ここで、同様の参照数字が幾つかの図面にわたって使用され、類似の構成部品を参照する。場合によっては、下位標示が参照数字に関連づけられ、ハイフンに後続して複数の類似構成部品の1つを表す。既存の下位標示への指定なしに参照数字への参照が行われるとき、全てのそのような複数の類似構成部品を参照することが意図される。
詳細な説明
[0027]開示された実施形態の実現は、処理チャンバの内側での処理中に基板の回転を許すように修正された基板支持アセンブリを含む。回転は、事実上、全ての基板処理ステップで望ましい。なぜなら、回転は一層均一なプロセスを可能にするからである。堆積プロセスの場合、基板の回転は、堆積された膜の厚さの均一性を改善することができる。堆積プロセスに関与する反応物質が低又は過渡的表面移動度を有するとき、ウェーハの回転は、より均一な膜の作り出しを特に助ける。結果として、開示された実施形態は、基板リフロー・ステップ及び堆積温度を低減する助けとなり、よって熱履歴がどこかで消費されることを許す。開示された実施形態は、全ての物質(例えば、金属、半導体層、及び絶縁層)の堆積に適している。
[0028]チャンバの外側に設置されたモータを用いて処理チャンバの内側の基板を回転する能力を提供することは、回転シールの組み込みを要求する。1つ又は好ましくは複数のOリングを使用する回転シール・アセンブリは、特別に設計されるか、商業的に取得されてもよく、多様な材料から作られる。外部圧力とは著しく異なる内部圧力の維持を処理チャンバに許すため、Oリングに対して圧力が加えられなければならない。機械力が供給されてOリングを圧搾し、Oリングの弾性はシールが作られることを確実にする。機械力は、重力、調節可能締結機構(例えば、ボルト)、又は多様な他の実質的に同等の手段によって提供され得る。典型的にはOリングと呼ばれない圧搾可能シールも、使用され得る。
[0029]1つの他の方法は、1つ以上のOリング溝を2つの同心円筒形部分品の1つの中に設計し、製造者推奨圧力が加えられてOリングを圧搾するように、内径及び外形の選択を確実にすることに関与する。図2は、1つのそのような円筒形部分品を示す。(Performance Sealing Inc.からの)幾つかのペルフルオロエラストマーOリングが、回転する基板支持シャフトの上の溝に閉じ込められた210で示される。回転応用に推奨される密封製品を選択することが重要である。そのようなOリングは、Teflon(登録商標)ジャケット、Teflon(登録商標)コーティング、埋め込み潤滑剤、又は何らかの他の方途を有して、摩擦を緩和してもよい(代替物は、Ferrotec からの Ferrofluidic(登録商標)を含む)。組み立てプロセス中に、閉じ込められたOリングの上に外側シリンダが配置され、この実施形態でプロセス・シールを作る。他の実施形態では、外側シリンダの中にOリングが閉じ込められ得る(示されない)。
[0030]図2において、回転シールはOリングである。このOリングは基板支持ペデスタルと一緒に回転する。実施形態によっては、示される基板支持アセンブリは、基板支持シャフトの軸に沿って往復することができる(例えば、上下に)。これは、一部のプロセス及びロボット操作には役立つパラメータである。また、回転シールは、静止した配偶者部分品の上に設置され得ることに注意すべきである(示されない)。Oリングはそのような構成では静止しているが、依然として回転シールと呼ばれる。
[0031]図2の絵を再び参照すると、2つの隣接するOリングが210で標示される。頂部Oリングの上の領域は処理チャンバの内部に隣接するか、チャンバ内の一部分であり、底部Oリングの下の領域は大気圧であってもよい。チャンバの内側の圧力が、チャンバの外側の圧力と違うか同じかに関係なく、2つの隣接Oリング間の領域に真空を加えて、汚染物質が処理チャンバへ入る機会を低くすることが有益である。したがって、2つのOリング・シール間にポンプ管接続口を取り付けて、領域を真空化することができる。この手法は差動ポンプと呼ばれ、最適条件のもとで、又は、第1のOリング・シールに問題が存在する場合に、チャンバの外側から漏れて入ってくる空気からの処理領域の保護を助けることができる。差動ポンプは、1つより多い場所で行われてもよい(例えば、3つのOリングの各々の対の間で)。これは、一部の物理気相堆積(PVD)プロセスのように、もし特に低い漏れ速度からプロセスが利益を得るならば(そのようなチャンバは、通常、低い基本圧力、例えば、<10−5トールを有する)、特別に望ましくなる。本明細書では、及び、全体を通して、真空という用語は、多様な真空化領域を説明するために使用される。真空とは、明らかに、全てのガス又は流体を欠いていることではない。そうではなく、真空は1つの大気(760トール)よりも下の圧力に維持されて、多様な利益を提供することができる。
[0032]組み立てられた実施形態は図3で示され、回転可能な基板支持シャフト340と静止回転シール・ハウジング350との間で密封された圧搾Oリング310を示す。3つの真空接続が図3で描かれ、2つの真空接続321及び324は、これらがなければ処理チャンバへ入る空気又はガスを領域から放出するためのものである。真空接続324は、静止回転シール・ハウジングの頂部フランジの間のシールを、空気の漏れ又は捕捉された量を真空化するためのものである。真空接続321は、図2と関連づけて早期に説明された差動ポンプ管接続口であり、下方360から処理領域へ入る空気に対して第2の防御線を提供する。一部の代替構築は、これらの管接続口を追放管接続口として使用することから利益を得てもよい。その場合、不活性ガス(N2のような)が反応種に取って代わるために領域(例えば、324)を通って流れる。
[0033]図3の残りの真空接続327は、一部の実施形態で存在し、回転可能基板支持シャフト340の周辺部の周りに真空を提供する。この真空は、シャフト内のアパーチャーを通過し(本質的に、回転位置に関係なく)、回転中であっても基板をペデスタルへ「吸着」又は保持するように真空が使用されることを許す。このタイプの接続は、回転流体ユニオン又は回転流体結合と呼ばれ、表示されたように真空に使用され得るが、ガス又は液体の流れを伝導するためにも使用され得る。図3の真空応用については、もし処理チャンバ内の圧力が、真空ポンプによってペデスタルの近くに作り出される圧力よりも高いならば、基板の吸着が起こる。真空吸着は、PVDのような低圧力プロセスでは非常に有用とは言えないが、0.5トール以上のプロセス圧力を採用する多くのプロセス(例えば、Alectrona)は、この基板保持方法を使用することができる。3つの全ての真空接続は、90°取り付け物及び圧搾はめ合い接続を用いるように示されるが、代替の構築方法が可能である。
[0034]より完全な基板支持アセンブリは図4で示され、開示された実施形態を代表する。差動ポンプ管接続口421及び真空吸着管接続口427が再び標示され、展望を提供する。この実施形態において、追加の管接続口及び構成部品が追加され、基板温度の調節を許す。そのような調節を可能にするため、この実施形態は、(例えば、Deublin Companyから)市販されて静止流体接続404を装着された回転流体ユニオンを含む。冷却流体は、代替チャネルを通って戻り、回転ユニオン408を通って脱出する前に、回転ユニオン、基板支持シャフト及び部材(又は、この実施形態ではペデスタル)412を通って上へ流れる。基板処理における典型的な応用は、基板の温度を低減することであるが、同様にペデスタルを暖めるために「冷却流体」が使用されてもよい。流体という用語の標準定義が、この文書の全体で使用されている。即ち、流体は、液体、ガス、又はこれらの組み合わせであり得る。したがって、例えば、回転流体結合は、冷却流体を結合するために使用され得るが、ガス又は真空を結合するためにも使用され得る。
[0035]冷却流体は、極めて多様な流体であってもよく、実施形態においては、水だけ、又は、例えばエチレングリコールとの組み合わせであってもよい。装置の耐用年数を最大にするため、冷却流体チャネルのチャンバ内壁は、どのような冷却流体が使用されても相性が良いことが望ましい。基板温度は、5℃と120℃との間、又は異なる実施形態では20℃と60℃との間の要求温度で保持され得る。冷却流体の温度は、(例えば、Thermo Scientific からの)再循環冷却器によって制御される。再循環された流体は、一般的には、再循環冷却器の中で冷やされるが、加熱されて基板温度を上昇させるためにも使用され得る。
[0036]同一又は他の実施形態では、回転流体ユニオンが使用され、冷却流体を搬送して密封機構を冷却し、摩擦及び熱が連合して回転シールを劣化させる機会を低くする。この機能性を示す実施形態は、図5に描かれる。この場合、回転流体ユニオン508は、回転シール・ハウジング550の方へ近く設置される。冷却流体を方向づけるチャネルは、基板支持シャフトの中へ設計され、回転シール領域での循環を許してもよい。2つの静止流体接続504の1つが示される。差動ポンプ管接続口も示され、521で標示される。
[0037]一部の実施形態では、回転電気フィードスルーが多様な目的に使用される。これらの目的には、加熱、冷却、基板温度測定、基板電位偏向、及び基板支持部材への基板静電吸着が含まれる。この多様な応用は、基板支持アセンブリへ組み込まれる回転電気フィードスルーの選択に制約を置く。これらの応用の或るものは、高電流(例えば、抵抗標本加熱)。高電圧(例えば、静電吸着)、及び/又は低雑音(例えば、熱電対出力)を要求するかも知れない。例えば、1つの開示される実施形態では、基板支持ペデスタルの中又は近くに抵抗ヒータが配置され、基板を100℃と900℃との間の温度へ加熱する。回転電気フィードスルーの代替名は、回転電気結合又はユニオンを含む。
[0038]回転電気フィードスルーは、図5で示される。静止電気接点531は、対応する回転電気接点533への電気接続を提供する。適切な回転電気伝導機構は、金属ブラシ、金属ブシュ、玉軸受、転がりリング、及び液体水銀を含む。滑り金属ブシュが使用可能であり、各々の滑り金属ブラシは、金属の別々のリングと接触し、明確な電気信号を伝導し、及び/又は明確な電気供給を提供する。他のタイプの電気接点も、複数の信号を類似のやり方で供給する。他の実施形態において、回転電気接点は「転がりリング」によって提供される。その場合、伝導円板は、円板の直径よりも大きな内径を有する伝導管の内側で回転する。本質的に、接点の移動点の近くに、一定の接点が作られる。他の実施形態は、閉じ込められた水銀槽を通して2つの固体伝導部分品を回転することによって、回転電気接点を提供する。この場合、電力又は電気信号は流体を通って伝導される。
[0039]列挙された機構の全ては、列挙された応用に要求される電圧及び電流を供給するように工作され得る。しかしながら、液体水銀ユニオンの使用は電気抵抗の非均一性を低減する。これは、小さな熱電対信号が、より小さい劣化と共に処理システムから出力されることを可能にする。回転中に電気抵抗の非均一性を最小にすることも、回転電気ユニオンの構成部品の耐用年数を短くするスパークの機会を低減する。回転電気ユニオンを回転シールの大気側に全面的に配置することは、実施形態で起こり、実施形態で回転電気ユニオンを真空と相性にする必要性を除く。したがって、フィードスルーという用語は、回転電気ユニオンを説明するために使用されるとき、1つの側で真空を維持し他方の側で大気圧を維持することのできる接続を説明することに限定されない。
[0040]接続機構又は配置に関係なく、1つを超える電気接続が、単一の回転ユニオンの中に作られ得る。基板を抵抗入力で加熱し、熱電対を監視することによって温度を読み取るため、4接続ユニオンが使用され得る。電気仕様が満たされる限り、できるだけ多くの電気接続を有して、できるだけ多くの柔軟性を保つことが望ましい。
[0041]電気モータは、処理チャンバの外側で基板アセンブリを回転するために使用され得る。これは、基板ペデスタル及び基板(存在するとき)が、チャンバの内側で回転することを引き起こす。モータは、基板アセンブリのシャフトへ同軸に取り付けられ得るが、1つ又は幾つかのギヤ、ベルト、チェーン、又は同等のリンク機構へも結合され得る。もしペデスタルが既知の角度位置で休止するようになれば、基板をチャンバの内外へ移送することは最も容易である。この考慮の結果として、モータは回転後に特定の角度へ進む能力を有するべきである(帰巣性を有するとも呼ばれる)。回転期間が完了すると自動的に帰巣する何らかのモータが市販されている。モータは、各レシピの後、又はレシピ内の各ステップの後に帰巣され得る。実施形態において、モータは中空シャフト・モータ又は中空ギヤ・モータ(例えば、Oriental motor 又は Sanyo Denki motor からの)である。中空ギヤ・モータは、低プロフィールと共に高トルクを確立し、良好な角度制御を結果する。そのようなモータは、図4(418)及び図5(518)の基板支持アセンブリと一体化して示される。モータがいつ回転するか、モータの回転速度、及び加速率を制御するためのソフトウェアが書かれ得る。
[0042]開示された実施形態の使用からの代表的結果は、図6に示される。49点円形基板(即ち、ウェーハ)マップが示される。このマップは、ガラス膜平均値についてのガラス膜厚偏差を示す。これらの具体的膜は酸化シリコン膜である。この酸化シリコン膜は、狭いギャップを充填するように設計されたプロセス(Applied Materials からのAlectrona(登録商標)プロセス)を用いて成長させられた。物理的に区別される2つの通路が使用されて、酸化前駆物質及び有機シラン前駆物質の供給物を導入し、基板表面に近くなるか基板表面に来るまで反応を回避する。酸化前駆物質は遠隔プラズマシステムによって前処理され、酸素遊離基を作り出す。実線625は、各酸化膜が全49点の平均に類似した平均厚さを有する近似場所を表す。正符号及び負符号の近くで行われた、それぞれ、より厚いか薄い示度数について、一定の厚さの他の線が示される。これらの測定中の周辺部除外領域は約3mmであった。
[0043]回転がなければ(図6の左に示される)、堆積された膜は多数の緊密間隔線を示す。これは膜厚の急速及び大きな変化を表示する。単に10RPMの非常に控えめな回転の導入は、非常に異なる結果を提供する(図6の右側を参照)。等厚線の数は低減され、分離が増加された。線の多くは、基本的に円形パターンを形成する。これは、堆積の期待された回転対称性を表示する。簡単な統計的比較(図6の2つのウェーハマップの下に示される)は、同様に正真正銘の改善を示す。左欄の百分率は、測定された値の平均についての統計的偏差である。堆積中に回転されなかったウェーハのウェーハマップは39.6%の標準偏差を有し、回転されたウェーハのウェーハマップは、3.0%の実質的に低い測定偏差を有する。
[0044]開示された実施形態は、基板を支持し、基板の中心が基板支持シャフトの軸上に来ないように、基板支持部材を構成することによって、更に洗練されてもよい。シャフトが回転している時点で、基板は回転するが、基板の中心もシャフトの中心の周りで回転する。他の開示された実施形態において、基板の軸(基板表面の平面に垂直な中心線)は、基板支持シャフトの軸に関して傾斜され、基板支持アセンブリが回転されるにつれて揺れの外観を結果する。これら修正の双方は、基板上のプロセス対称性を低減し、堆積された膜の厚さのように、処理ステップの正味効果を均質化することができる。1つの実施形態において、シャフト軸に対する基板軸の傾斜は約0.1°よりも小さい。
[0045]実施形態において、この傾斜は、レシピステップの一部分として調節され得る。基板を非傾斜位置に落下させ、堆積前に傾斜位置へ置くことが望ましい。処理が完了すると、基板は非傾斜位置へ戻され得る。これは、回転流体ユニオンの1つを使用することによって、典型的なペデスタルの中へ設計され得る。回転流体ユニオンは、基板支持部材の1つの側を上昇させる1つ以上の捕獲プランジャの中へガス駆動圧力を供給する。駆動圧力を除去すると、ペデスタルは非傾斜位置へ戻る。
例示的基板処理システム
[0046]堆積システムの実施形態は、集積回路チップを生産する一層大きな製作システムへ組み込まれてもよい。図7は、開示された実施形態に従った堆積、ベーク、及びキュアチャンバから構成される1つのそのようなシステム700を示す。図面において、一対のFOUP702は基板の基板(例えば、300mm直径ウェーハ)を供給する。この基板はロボットアーム704によって受け取られ、ウェーハ処理チャンバ708a〜708fの1つへ配置される前に、低圧保持区域706の中へ配置される。第2のロボットアーム710が使用されて、基板ウェーハを保持区域706から処理チャンバ708a〜708fへ及び逆方向へ運送してもよい。
[0047]処理チャンバ708a〜708fは、基板ウェーハ上で流動可能誘電膜を堆積、アニール、キュア、及び/又はエッチングする1つ以上のシステム構成部品を含んでもよい。1つの構成において、処理チャンバの2つの対(例えば、708c〜708d及び708e〜708f)が使用されて、流動可能誘電物質を基板上に堆積し、処理チャンバの第3の対(例えば、708a〜708b)が使用されて、堆積された誘電物質をアニールしてもよい。他の構成において、処理チャンバの同じ2つの対(例えば、708c〜708d及び708e〜708f)が、流動可能誘電膜を基板上で堆積及びアニールするように構成され、チャンバの第3の対(例えば、708a〜708b)が、堆積された膜のUV又はEビーム・キュアに使用されてもよい。なお他の構成において、チャンバの3つの全ての対(例えば、708a〜708f)が流動可能誘電膜を基板上で堆積及びキュアするように構成されてもよい。更に他の構成において、処理チャンバの2つの対(例えば、708c〜708d及び708e〜708f)が、流動可能誘電物質の堆積及びUV又はEビーム・キュアに使用されてもよく、処理チャンバの第3の対(例えば、708a〜708b)が、誘電膜のアニールに使用されてもよい。流動可能誘電膜のための堆積、アニール、及びキュアチャンバの追加の構成は、システム700によって想定されることが理解される。
[0048]追加的に、1つ以上の処理チャンバ708a〜708fは、湿潤処置チャンバとして構成されてもよい。これらの処理チャンバは、水分を含む大気中で流動可能誘電膜を加熱することを含む。こうして、システム700の実施形態は、湿潤処置チャンバ708a〜708b及びアニール処理チャンバ708c〜708dを含み、堆積された誘電膜上で湿潤及びドライ・アニールを遂行してもよい。
[0049]図8は、例示的処理システム850の他の実施形態を示す。システム850では、側面ノズル853の上に位置づけられた多孔板852が前駆物質を頂部入口854から分配する。多孔板852は、板の厚さを横断する複数の開口を介して前駆物質を分配する。板は、図1の気流周り止め板124と置き替わるか協働してもよい。板852は、例えば、約10〜2000の開口(例えば、200の開口)を有してもよい。示された実施形態において、多孔板は、酸化ガス、例えば、原子酸素及び/又はTMOS又はOMCTSのような他の酸素含有ガスを分配してもよい。図解された構成では、酸化ガスが堆積チャンバの中でシリコン含有前駆物質の上に導入される。シリコン含有前駆物質は堆積基板の上にも導入される(側面ノズル853から)。
[0050]幾つかの実施形態を説明したので、開示された実施形態の精神旨から逸脱することなく、様々な変形例、代替構築、及び同等物が使用されてもよいことが、当業者によって認識される。付け加えると、多数の周知のプロセス及び要素は、本発明を不必要に不明瞭にすることを避けるため説明されなかった。したがって、上記の説明は本発明の範囲を限定すると考えてはならない。
[0051]値の範囲が提供される場合、文脈がそうでないことを明らかに指図していなければ、この範囲の上限と下限との間にある下限単位の1/10までの各中間値も、具体的に開示されることが理解される。提示された値又は提示された範囲内の中間値と、他の提示された値又はこの提示された範囲内の中間値との間の、より小さい各範囲は包含される。これらの小さい範囲の上限及び下限は、独立して範囲の中に含められるか除外されてもよく、より小さい範囲の中にいずれかの限度が含まれるか、いずれの限度も含まれないか、又は双方の限度が含まれる各範囲も、提示された範囲の中の具体的に除外された限度に支配されて、本発明の中に包含される。提示された範囲が1つ又は双方の限度を含む場合、それらの含まれた限度のいずれか又は双方を除外する範囲も含まれる。
[0052]本明細書及び添付された特許請求の範囲で使用されるように、単数形「1つの」(「a」、「an」、及び「the」)は、文脈がそうでないことを明らかに指図しなければ、複数の参照対象を含む。こうして、例えば、「1つのプロセス」(「a process」)への参照は複数のそのようなプロセスを含み、「モータ」(「the motor」)への参照は1つ以上のモータ及び当業者に公知のモータ同等物への参照を含む。以下同様である。
[0053]また、語「備える」(「comprise」、「comprising」)、「含む」(「include」、「including」、及び「includes」)は、本明細書及び下記の特許請求の範囲で使用されるとき、提示された特徴部、整数、構成部品、又はステップの存在を指定するように意図されるが、1つ以上の他の特徴部、整数、構成部品、ステップ、行為、又はグループの存在又は追加を排除しない。
堆積チャンバ内の従来技術の処理領域及び別々の酸化前駆物質及び有機シラン前駆物質を用いて膜を成長させる遠隔プラズマ領域の略図を示す。 開示された実施形態に従った基板支持アセンブリの側面図である。 シャフト・ハウジングの内側にある基板支持シャフト(基板支持アセンブリの一部分)の断面を示す。 開示された実施形態に従って、温度制御流体が回転流体結合、シャフト、及び基板支持部材を流れる基板支持アセンブリを示す。 開示された実施形態に従って、冷却流体が回転流体結合を通って流れ、基板支持シャフトの回転シール領域を冷却する基板支持アセンブリを示す。 酸化膜の堆積中に、開示された実施形態に従った10RPM基板回転を有しないか有する49点測定マップを示す。 開示された実施形態に従った基板処理システムを示す。 開示された実施形態に従った基板処理チャンバを示す。
符号の説明
101、105…ペデスタル・アセンブリ、107…基板表面、110…パイプ(管)、120…プラズマ、124…気流周り止め板、130…処理領域、210、310…Oリング、321、327…真空接続、324…真空接続(領域)、340…回転可能基板支持シャフト、350…静止回転シール・ハウジング、360…下方、404…静止流体接続、408…回転ユニオン、412…基板支持シャフト及び部材、418、518…モータ、421…差動ポンプ管接続口、427…真空吸着管接続口、504…静止流体接続、508…回転流体ユニオン、521…差動ポンプ管接続口、531…静止電気接点、533…回転電気接点、550…回転シール・ハウジング、625…実線、700…堆積、ベーク、及びキュアチャンバシステム、702…FOUPの対、704…ロボットアーム、706…低圧保持区域、708a、708b、708c、708d、708e…ウェーハ処理チャンバ、708f…ウェーハ処理チャンバ、710…第2のロボットアーム、850…例示的処理システム、852…多孔板、853…側面ノズル、854…頂部入口。

Claims (18)

  1. 半導体処理システムであって、
    外部チャンバ圧とは異なる内部チャンバ圧を保持することのできる内部を有する処理チャンバと、
    前記チャンバへ結合され、物質を前記処理チャンバから除去するように適合されたポンプ・システムと、
    基板を前記処理チャンバの内側で支持するように適合された基板支持部材、及び回転的に固定された仕方で前記基板支持部材へ結合され、前記処理チャンバに対して回転できる基板支持シャフトを備える基板支持アセンブリと、
    前記基板支持シャフトへ結合され、1RPM(回転/分)と2000RPMとの間の回転速度で前記基板支持アセンブリを回転するように構成されたモータと、
    前記基板支持シャフトと前記処理チャンバとの間に結合され、前記基板支持アセンブリが回転しているときでも、外部チャンバ圧とは異なる内部チャンバ圧を維持することを前記システムに許す少なくとも1つの回転シールと、
    少なくとも1つの静止チャネルと前記処理チャンバ内の少なくとも1つの回転可能チャネルとの間で流体を伝導するように構成された少なくとも1つの回転流体結合と、
    前記処理チャンバの外側の少なくとも1つの静止導体と前記処理チャンバの内側の少なくとも1つの回転可能導体との間で電気が通ることを許すように構成された回転電気フィードスルーと
    を備える半導体処理システム。
  2. 前記少なくとも1つの回転シールが、少なくとも2つの回転シールを備え、差動ポンプ管接続口が、前記少なくとも2つの回転シールの間からガスを除去するチャネルを提供するように構成される、請求項1に記載の半導体処理システム。
  3. 前記回転電気フィードスルーが、前記基板支持部材の近くでヒータへ電力を提供するように使用され、前記ヒータが、前記基板支持部材及び前記基板の温度を増加する加熱源を提供する、請求項1に記載の半導体処理システム。
  4. 前記回転電気フィードスルーが、前記基板支持部材の静電吸着機構へ電圧を提供するために使用される、請求項1に記載の半導体処理システム。
  5. 前記回転速度が、約10RPMと約120RPMとの間である、請求項1に記載の半導体処理システム。
  6. 前記モータが、時計回り方向と反時計回り方向の双方で前記シャフトを回転するように構成される、請求項1に記載の半導体処理システム。
  7. 前記少なくとも1つの回転流体結合の2つが、前記回転する基板支持アセンブリを介して温度制御流体を循環させるために使用される、請求項1に記載の半導体処理システム。
  8. 前記温度制御流体が、前記基板支持シャフトの中のチャネルを通過して前記基板支持部材及び前記基板の前記温度を低減する、請求項7に記載の半導体処理システム。
  9. 前記温度制御流体が、前記基板支持シャフトの中のチャネルを通過して前記少なくとも1つの回転シールを冷却する、請求項7に記載の半導体処理システム。
  10. 前記少なくとも1つの回転流体結合の1つが、前記基板支持シャフトの中を前記基板支持部材まで上方へ真空を伝導し、前記基板を前記基板支持部材の上に吸着するように使用される、請求項1に記載の半導体処理システム。
  11. 前記回転電気フィードスルーが、液体水銀、金属ブラシ、金属ブシュ、玉軸受、及び転がりリングから構成される群の少なくとも1つを利用して回転電気接続を作る、請求項1に記載の半導体処理システム。
  12. 前記基板が円形であり、前記基板の中心が前記基板支持シャフトの軸の上にあって、前記基板が回転するとき、前記基板の前記中心が有意には回転しない、請求項1に記載の半導体処理システム。
  13. 前記基板が円形であり、前記基板の前記中心が前記基板支持シャフトの前記軸の上になく、前記基板支持シャフトが回転するとき、前記基板の前記中心が回転する、請求項1に記載の半導体処理システム。
  14. 前記基板が円形であり、前記基板の前記軸が前記基板支持シャフトの前記軸に関して傾斜されており、前記基板支持シャフトが回転するときに揺れを作り出す、請求項1に記載の半導体処理システム。
  15. 前記基板の前記軸の前記傾斜が、前記基板支持シャフトの前記軸から約0.1°以下である、請求項14に記載の半導体処理システム。
  16. 前記基板の前記軸の前記傾斜が、膜の堆積中に調節可能である、請求項14に記載の半導体処理システム。
  17. 前記基板が、前記膜の堆積中に非傾斜位置から傾斜位置へ調節される、請求項14に記載の半導体処理システム。
  18. 前記システムが、前記基板支持部材を上昇及び下降させるため前記シャフトへ結合されたリフト機構を備える、請求項1に記載の半導体処理システム。
JP2008287978A 2007-11-08 2008-11-10 膜均一性のための回転温度制御基板ペデスタル Pending JP2009117845A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US98632907P 2007-11-08 2007-11-08
US12/111,817 US20090120368A1 (en) 2007-11-08 2008-04-29 Rotating temperature controlled substrate pedestal for film uniformity

Publications (2)

Publication Number Publication Date
JP2009117845A true JP2009117845A (ja) 2009-05-28
JP2009117845A5 JP2009117845A5 (ja) 2011-12-22

Family

ID=40345034

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008287978A Pending JP2009117845A (ja) 2007-11-08 2008-11-10 膜均一性のための回転温度制御基板ペデスタル

Country Status (7)

Country Link
US (1) US20090120368A1 (ja)
EP (1) EP2058849A3 (ja)
JP (1) JP2009117845A (ja)
KR (1) KR101140017B1 (ja)
CN (1) CN101527254B (ja)
SG (1) SG152212A1 (ja)
TW (1) TW200941615A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013503366A (ja) * 2009-08-27 2013-01-31 コーニング インコーポレイテッド 超音波を利用した担体からのガラス基板の剥離
JP2014216654A (ja) * 2013-04-26 2014-11-17 アライド テックファインダーズ カンパニー,リミテッド 半導体装置
JP2015512144A (ja) * 2012-01-31 2015-04-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated マルチチャンバ基板処理システム
JP2020056095A (ja) * 2018-09-27 2020-04-09 東京エレクトロン株式会社 基板処理装置

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9490120B2 (en) 2011-11-18 2016-11-08 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN103774118B (zh) * 2012-10-17 2016-03-02 理想能源设备(上海)有限公司 基片承载装置及金属有机化学气相沉积装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10351956B2 (en) 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
US9394938B2 (en) 2013-06-19 2016-07-19 Applied Materials, Inc. Internal chamber rotation motor, alternative rotation
KR102141670B1 (ko) * 2014-01-29 2020-08-05 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
TWI665753B (zh) * 2014-06-05 2019-07-11 美商應用材料股份有限公司 多晶圓旋轉料架ald中的集成兩軸升降旋轉電動機的中央基座
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
TWI549155B (zh) * 2014-09-04 2016-09-11 技術發現者聯合有限公司 半導體裝置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
TWI616976B (zh) * 2015-06-05 2018-03-01 瓦特洛威電子製造公司 高熱傳導晶圓支撐臺座裝置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11174554B2 (en) * 2016-03-03 2021-11-16 Core Technology, Inc. Substrate tray for use in thin-film formation device
NL2017773B1 (en) * 2016-11-11 2018-05-24 Suss Microtec Lithography Gmbh Positioning device
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
TWI765936B (zh) * 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
US10704147B2 (en) 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
CN107475689A (zh) * 2017-08-28 2017-12-15 常州亿晶光电科技有限公司 一种改善理想机台沉积ALOx膜均匀性的方法
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
US11199562B2 (en) 2019-08-08 2021-12-14 Western Digital Technologies, Inc. Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same
FI4013905T3 (fi) 2019-08-12 2023-05-19 Kurt J Lesker Company Erittäin puhtaat olosuhteet atomimittakaavan prosessointiin
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法
CN113707578B (zh) * 2021-08-30 2023-07-04 重庆电子工程职业学院 一种便于封装集成电路芯片的封装装置及其使用方法

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5775738U (ja) * 1980-10-27 1982-05-11
JPH06318630A (ja) * 1993-02-26 1994-11-15 Applied Materials Inc サセプタ駆動及びウエハ変位機構
JP2000311769A (ja) * 1999-04-28 2000-11-07 Kyocera Corp 円盤状ヒータおよびウエハ加熱装置
JP2003297912A (ja) * 2002-03-28 2003-10-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2004207545A (ja) * 2002-12-26 2004-07-22 Hitachi Cable Ltd 半導体気相成長装置
JP2004536444A (ja) * 2000-12-04 2004-12-02 アプライド マテリアルズ インコーポレイテッド 回転可能な半導体基板支持アセンブリ用の冷却ガス配送装置
JP2006179629A (ja) * 2004-12-22 2006-07-06 Nsk Ltd 回転保持装置
JP2006210878A (ja) * 2005-01-24 2006-08-10 Samsung Electronics Co Ltd 半導体製造装置
JP2007019067A (ja) * 2005-07-05 2007-01-25 Sekisui Chem Co Ltd 表面処理用ステージ構造
JP2007191728A (ja) * 2006-01-17 2007-08-02 Ulvac Japan Ltd 真空処理装置

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US144490A (en) * 1873-11-11 Improvement in car-couplings
US80057A (en) * 1868-07-21 william hawksworth
US277734A (en) * 1883-05-15 New jbksey
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4848400A (en) * 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
US5081069A (en) * 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0758036A (ja) * 1993-08-16 1995-03-03 Ebara Corp 薄膜形成装置
US5412180A (en) * 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5966595A (en) * 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
DE19629705A1 (de) * 1996-07-24 1998-01-29 Joachim Dr Scheerer Verfahren und Vorrichtung zur Reinigung von scheibenförmigen Gegenständen, insbesondere Wafern, mit Ultraschall und Wasser als Spülmedium
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
JP3792417B2 (ja) * 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100773165B1 (ko) * 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6696362B2 (en) * 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6935466B2 (en) * 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
AU2002323040A1 (en) * 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6720263B2 (en) * 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6634650B2 (en) * 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7018555B2 (en) * 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20050121145A1 (en) * 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7479210B2 (en) * 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
KR101061945B1 (ko) * 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 액 처리 방법, 액 처리 장치 및 이를 행하는 제어프로그램이 기억된 컴퓨터 판독 가능한 기억 매체
TW200739710A (en) * 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7514375B1 (en) * 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5248370B2 (ja) * 2009-03-10 2013-07-31 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5775738U (ja) * 1980-10-27 1982-05-11
JPH06318630A (ja) * 1993-02-26 1994-11-15 Applied Materials Inc サセプタ駆動及びウエハ変位機構
JP2000311769A (ja) * 1999-04-28 2000-11-07 Kyocera Corp 円盤状ヒータおよびウエハ加熱装置
JP2004536444A (ja) * 2000-12-04 2004-12-02 アプライド マテリアルズ インコーポレイテッド 回転可能な半導体基板支持アセンブリ用の冷却ガス配送装置
JP2003297912A (ja) * 2002-03-28 2003-10-17 Hitachi Kokusai Electric Inc 基板処理装置
JP2004207545A (ja) * 2002-12-26 2004-07-22 Hitachi Cable Ltd 半導体気相成長装置
JP2006179629A (ja) * 2004-12-22 2006-07-06 Nsk Ltd 回転保持装置
JP2006210878A (ja) * 2005-01-24 2006-08-10 Samsung Electronics Co Ltd 半導体製造装置
JP2007019067A (ja) * 2005-07-05 2007-01-25 Sekisui Chem Co Ltd 表面処理用ステージ構造
JP2007191728A (ja) * 2006-01-17 2007-08-02 Ulvac Japan Ltd 真空処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013503366A (ja) * 2009-08-27 2013-01-31 コーニング インコーポレイテッド 超音波を利用した担体からのガラス基板の剥離
JP2015512144A (ja) * 2012-01-31 2015-04-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated マルチチャンバ基板処理システム
JP2014216654A (ja) * 2013-04-26 2014-11-17 アライド テックファインダーズ カンパニー,リミテッド 半導体装置
JP2020056095A (ja) * 2018-09-27 2020-04-09 東京エレクトロン株式会社 基板処理装置
JP7292919B2 (ja) 2018-09-27 2023-06-19 東京エレクトロン株式会社 基板処理装置
JP7447327B2 (ja) 2018-09-27 2024-03-11 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
EP2058849A2 (en) 2009-05-13
CN101527254B (zh) 2013-05-15
EP2058849A3 (en) 2010-03-31
SG152212A1 (en) 2009-05-29
KR101140017B1 (ko) 2012-07-09
US20090120368A1 (en) 2009-05-14
TW200941615A (en) 2009-10-01
KR20090048355A (ko) 2009-05-13
CN101527254A (zh) 2009-09-09

Similar Documents

Publication Publication Date Title
JP2009117845A (ja) 膜均一性のための回転温度制御基板ペデスタル
US9484233B2 (en) Carousel reactor for multi-station, sequential processing systems
KR101680751B1 (ko) 비-접촉 기판 프로세싱
US9853579B2 (en) Rotatable heated electrostatic chuck
KR102667399B1 (ko) 극저온 냉각식 회전가능 정전 척
US11427912B2 (en) High temperature rotation module for a processing chamber
JP2013530536A (ja) ロードロックバッチオゾン硬化
US11066747B2 (en) Chemical delivery chamber for self-assembled monolayer processes
US10784139B2 (en) Rotatable electrostatic chuck having backside gas supply
JP2022540841A (ja) 同時基板移送用ロボット
JP2022540607A (ja) 同時基板移送用ロボット
US10351956B2 (en) Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
KR102374532B1 (ko) 다중-웨이퍼 캐러셀 ald에서 통합된 2-축 리프트-회전 모터 중심 페디스털
TW202147501A (zh) 高溫及真空隔離處理最小環境
TWI831806B (zh) 陶瓷混合絕緣板
JP3688243B2 (ja) 半導体製造装置
US20220013382A1 (en) Transfer carousel with detachable chucks
US20220076978A1 (en) Alignment of an electrostatic chuck with a substrate support
JP2006049489A (ja) 基板処理装置
US11646217B2 (en) Transfer apparatus and substrate-supporting member
JP2000353665A (ja) 基板処理装置
US20220254634A1 (en) Chamber body feedthrough for in chamber resistive heating element
JP2011204735A (ja) 基板処理装置および半導体装置の製造方法
TW202235667A (zh) 用於大型腔室吹洗控制之自對準吹洗環

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111108

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111108

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130319

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130325

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130423

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130820