KR970012986A - 기판처리장치 - Google Patents

기판처리장치 Download PDF

Info

Publication number
KR970012986A
KR970012986A KR1019960032496A KR19960032496A KR970012986A KR 970012986 A KR970012986 A KR 970012986A KR 1019960032496 A KR1019960032496 A KR 1019960032496A KR 19960032496 A KR19960032496 A KR 19960032496A KR 970012986 A KR970012986 A KR 970012986A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
transfer chamber
processing apparatus
substrate transfer
Prior art date
Application number
KR1019960032496A
Other languages
English (en)
Other versions
KR100244041B1 (ko
Inventor
슈지 요네미츠
토시카즈 카리노
히사시 요시다
신이치로 와타히키
유지 요시다
히데오 시무라
타케시 스기모토
유키노리 아부라타니
카즈히토 이케다
Original Assignee
시바타 쇼타로
고쿠사이덴키 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시바타 쇼타로, 고쿠사이덴키 가부시키가이샤 filed Critical 시바타 쇼타로
Publication of KR970012986A publication Critical patent/KR970012986A/ko
Application granted granted Critical
Publication of KR100244041B1 publication Critical patent/KR100244041B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/08Preparation of the foundation plate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 승강기등에 의해 장치내를 오염되는 것을 방지할 수 있는 기판처리장치를 제공하는 것을 목적으로 하며, 웨이퍼 반송실(50)의 벽(53)에 다수의 반응실 (70)을 적층하여 설치한다. 웨이퍼 반송실(50)의 벽(54)에 웨이퍼 수용실(30)을 설치한다. 카셋(10)을 지지하는 카셋선반(11)을 설치한다. 웨이퍼 반송실(50)을 진공이 형성할 수 있도록, 그내부에는 웨이퍼 반송 진공 로보트(60)를 설치한다. 웨이퍼 반송실(50)의 저면(56)에 관통구멍(57)을 설치한다. 웨이퍼 반송실(50)의 외부의 하측에는 볼 나사(나사축561), 너트(565)를 설치한다. 저트(565)에 승강대(564)를 고정하고, 승강대(564)에 웨이퍼 반송 진공 로보트 지지봉(563)의 일단부를 고정하고, 그 타단부는 웨이퍼 반송 진공 로보트(60)에 고정한다. 벨로우즈(562)의 양단부를 기밀하게 고정한다.

Description

기판처리장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
도2는 도1의 XX선 단면도이다

Claims (26)

  1. 감압가능한 기판반송실과, 상기 기판반송실의 제1측벽에 수직방향으로 적층되어 형성된 다수의 기판처리실과, 상기 다수의 기판처리실과 상기 기판반송실과의 사이에 각각 설치되며, 닫힌 경우에는 상기 기판처리실과 상기 기판반송실과의 사이를 진공적으로 기밀하게 할 수 있고, 열린 경우에는 기판이 그 내부를 통하여 이동가능한 다수의 제1밸브와, 상기 기판반송실의 제2측벽에 형성된 기판수용실과, 상기 기판반송실내에 설치되며, 상기 기판처리실과 상기 기판수용실과의 사이에서 상기 기판을 감압하에서 반송 가능한 기판반송기와, 상기 기판 반송실의 외부에 설치되며, 고정부와 상기 고정부에 대해 승강 가능한 승강부를 가지는 승강수단과, 상기 기판 반송실의 소정면에 형성된 관통구멍내를 이동가능한 강체이며, 상기 승강부와 상기 기판반송기를 상기 관통구멍을 통하여 기계적으로 접속하는 접속부재와, 상기 소정면과 상기 소정면에 형성된 상기 관통구멍을 관통하는 상기 접속부재와의 사이를 진공적으로 기밀하게 유지하는 기밀부재를 구비하는 것을 특징으로 하는 기판처리장치.
  2. 제1항에 있어서, 상기 기판반송기가 상기 기판을 실질적으로 수평방향을 반송가능한 기판반송기인 것을 특징으로 하는 기판처리장치.
  3. 제1항 또는 제2항에 있어서, 상기 기밀부재가 탄성체로 구성되어 있고, 상기 접속부재가 상기 기밀부재에 의해 피복되어 있고, 상기 접속부재가 상기 기밀부재내를 이동가능하고, 상기 기밀부재의 일단부가 상기 소정면과 진공적으로 기밀하게 접속되어 있고, 상기 기밀부재의 타단부가 상기 접속부재와 진공적으로 기밀하게 접속되어 있는 것을 특징으로 하는 기판처리장치.
  4. 제3항에 있어서, 상기 기밀부재가 벨로우즈인 것을 특징으로 하는 기판처리장치.
  5. 청구항1 내지 청구항4항의 어느 한항에 있어서, 상기 고정부가 나사축이고, 상기 승강부는 너트를 구비하고, 사기 나사축과 상기 너트에 의해 볼 나사를 구성하고 있는 것을 특징으로 하는 기판처리장치.
  6. 제5항에 있어서, 상기 기판반송실의 소정면에 상기 기판반송실의 저면이고, 상기 볼 나사가 상기 기판방송실의 하측에 설치되어 있는 것을 특징으로 하는 기판처리장치.
  7. 제5항에 있어서, 상기 기판반송실의 상기 소정면이 상기 기판반송실의 상부면이고, 상기 볼 나사가 상기 기판반송실의 상측에 설치되어 있는 것을 특징으로 하는 기판처리장치.
  8. 제1항 내지 제7항의 어느 한 항에 있어서, 상기 기판반송기가 구동부와 상기 구동부에 의해 실질적으로 수평방향으로 이동가능한 기판반송부와 진공적으로 기밀성의 구동부 수용 용기를 구비하고 있고, 상기 구동부가 상기 구동부 수용 용기내에 수용되어 있는 것을 특징으로 하는 기판처리장치.
  9. 제8항에 있어서, 상기 강체의 접속부재의 일단부와 상기 구동부 수용 용기의 상기 기판반송부측의 단부근방이 접속되어 있는 것을 특징으로 하는 기판처리장치.
  10. 제8항 또는 제9항에 있어서, 상기 기판반송실의 상기 소정면이 상기 기판반송실의 저면 및 상부면의 어느 한족이고, 상기 기판반송실의 상기 소정면이 상기 기판반송실의 저면인 경우에는 상기 저면에 상기 구동부 수용 용기의 외형에 맞춘 볼록부를 형성하고, 상기 기판반송실의 상기 소정면이 상기 기판반송실의 상부면인 경우에는 상기 상면부에 상기 구동부 수용 용기의 외형에 맞춘 볼록부를 형성하고, 상기 볼록부내에 상기 구동부 수용 용기를 수용 가능하게 한 것을 특징으로 하는 기판처리장치.
  11. 제10항에 있어서, 상기 기판반송실의 상기 소정면이 상기 기판반송실의 저면인 것을 특징으로 하는 기판처리장치.
  12. 청구항 1 내지 청구항 11항의 어느 한 항에 있어서, 상기 기판수용실과 상기 기판반송실과의 사이에는 닫힌 경우에 상기 기판반송실과 상기 기판반송실과의 사이를 진공적으로 기밀하게 할 수 있고, 열린 경우에는 상기 기판이 그 내부를 통하여 이동 가능한 제2밸브가 설치되어 있고, 상기 기판 수용실이 상기 기판반송실과 독립하여 감압 가능한 것을 특징으로 하는 기판처리장치.
  13. 제12항에 있어서, 상기 기판수용실의 상기 기판반송실이 설치된 쪽과는 다른 쪽에 배치된 대기압부와, 상기 기판수용실과 상기 대기압부와의 사이에 설치되며 닫힌 경우에는 상기 기판 수용실과 상기 대기압부와의 사이를 진공적으로 기밀하게 할 수 있고, 열린 경우에는 상기 기판이 그 내부를 통하여 이동 가능한 제3밸브를 추가로 구비하는 것을 특징으로 하는 기판처리장치.
  14. 제1내지 제11항의 어느 한 항에 있어서, 상기 기판반송실의 상기 제2 측벽에 다수의 기판수용실의 수직방향으로 적층되어 설치되어 있고, 상기 다수의 기판수용실과 상기 기판반송실과의 사이가 닫힌 경우에는 상기 다수의 기판수용실과 상기 기판반송실과 사이를 각각 진공적으로 기밀하게 할 수 있고, 열린 경우에는 상기 기판이 그 내부를 통하여 이동 가능한 다수의 제4밸브가 각각 설치되어 있고, 상기 다수의 기판수용실의 각각이 다른 기판수용실과 독립해서 감압 가능하고, 상기 다수의 기판 수용실의 각각과 상기 기판반송실이 상호 독립해서 감압 가능한 것을 특징으로 하는 기판처리장치.
  15. 제1항 내지 제14항에 있어서, 상기 기판수용실의 상기 기판반송실이 형성된 쪽과는 다른쪽에 배치된 대기압부와, 상기 대기압부에 설치된 카셋 지지수단과, 상기 대기압부에 설치되며, 상기 카셋 지지수단에 지지되는 카셋과 상기 기판수용부와의 사이에서 상기 기판을 반송가능한 기판반송수단을 추가로 구비하는 것을 특징으로 하는 기판처리장치.
  16. 제15항에 있어서, 상기 기판처리실과, 상기 기판반송실과, 상기 기판수용실과, 상기 기판반송수단과 상기 카셋 지지수단을 수용하는 하우징를 추가로 구비하는 것을 특징으로 하는 기판처리장치.
  17. 제10항 또는 제11항에 있어서, 적어도 상기 기판반송실과 상기 다수의 기판처리실과 상기 기판수용실을 수용하는 하우징를 추가로 구비하고, 상기 기판반송실의 상기 볼록부, 상기 승강수단 및 상기 접속부재의 적어도 일부가 상기 하우징으로부터 돌출하여 설치된 것을 특징으로 하는 기판처리장치.
  18. 제1항 내지 제17항의 어느 한 항에 있어서, 상기 기판수용실에 설치된 내열성의 제1 기판지지수단을 추가로 가지는 것을 특징으로 하는 기판처리장치.
  19. 제1항 내지 제18항의 어느 한 항에 있어서, 상기 기판을 지지하며 상기 기판수용실내에 설치된 제1 기판지지수단과, 상기 기판을 지지하며 상기 기판처리실내에 설치된 제2 기판지지수단을 추가로 구비하고, 상기 제2 기판지지수단이 다수매의 기판을 지지가능하고, 상기 제1 기판지지수단이 다수매의 기판을 지지가능하고, 상기 제1 기판지지수단에 지지되는 기판 사이의 피치가 상기 제2 기판지지수단에 의해 지지되는 기판 사이의 피치아 실질적으로 같은 것을 특징으로 하는 기판처리장치.
  20. 제15항 또는 제16항에 있어서, 상기 기판을 지지하도록 상기 기판 수용실내에 설치된 제1 기판지지수단과, 상기 기판을 지지하도록 상기 기판처리실내에 설치된 제2 기판지지수단을 추가로 구비하고, 상기 제2 기판지지수단이 다수매의 기판을 지지가능하고, 상기 제1 기판지지수단이 다수매의 기판을 지지가능하며, 상기 제1 기판지지수단에 지지되는 기판사이의 피치가 상기 제2 기판지지수단에 의해 지지되는 기판사이의 피치와 실질적으로 같고, 상기 기판 반송 수단이 다수매의 상기 기판을 동시에 반송 가능하고, 상기 다수매의 기판 사이의 피치가 가변인 것을 특징으로 하는 기판처리장치.
  21. 제19항 또는 제20항에 있어서, 상기 기판반송기가 감압하에서 다수매의 상기 기판을 동시에 반송가능한 것을 특징으로 하는 기판처리장치.
  22. 제18항 내지 제21항의 어느 한 항에 있어서, 상기 제1 기판지지수단이 상기 기판처리실의 각각에서 한번에 처리되는 상기 기판 매수의 적어도 2배 이상 매수의 기판을 지지가능한 것을 특징으로 하는 기판처리장치.
  23. 제19항 내지 제21항의 어느 한 항에 있어서, 상기 제1 기판지지수단이 상기 제2 기판지지수단보다도 적어도 2배 이상 매수의 기판을 지지가능한 것을 특징으로 하는 기판처리장치.
  24. 제1항 내지 제23항의 어느 한 항에 있어서, 상기 기판반송실의 상기 제1측벽과 상기 제2측벽이 상호 대향하고 있고, 상기 기판처리실과, 상기 기판방송실과, 상기 기판수용실이 실질적으로 일직선상에 배치되어 있는 것을 특징으로 하는 기판처리장치.
  25. 제24항에 있어서, 상기 기판반송실이 평면도적으로는 장방형상인 것을 특징으로 하는 기판처리장치.
  26. 제15항 또는 제16항에 있어서, 상기 카셋 지지수단이 상기 기판 수수실에 대해 상기 기판반송실과는 반대측에 배치되어 있는 것을 특징으로 하는 기판처리장치.
KR1019960032496A 1995-08-05 1996-08-03 기판처리장치 KR100244041B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP21976995 1995-08-05
JP95-219768 1995-08-05
JP21976895 1995-08-05
JP95-219769 1995-08-05

Publications (2)

Publication Number Publication Date
KR970012986A true KR970012986A (ko) 1997-03-29
KR100244041B1 KR100244041B1 (ko) 2000-02-01

Family

ID=26523328

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019960032495A KR100310249B1 (ko) 1995-08-05 1996-08-03 기판처리장치
KR1019960032496A KR100244041B1 (ko) 1995-08-05 1996-08-03 기판처리장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019960032495A KR100310249B1 (ko) 1995-08-05 1996-08-03 기판처리장치

Country Status (2)

Country Link
US (3) US6066210A (ko)
KR (2) KR100310249B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100310080B1 (ko) * 1998-01-15 2001-12-17 문태수 기능성솜의제조방법
KR100487393B1 (ko) * 1997-10-13 2005-06-16 도쿄 오카 고교 가부시키가이샤 처리장치구축체

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
ATE275759T1 (de) * 1995-03-28 2004-09-15 Brooks Automation Gmbh Be- und entladestation für halbleiterbearbeitungsanlagen
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US6231297B1 (en) * 1995-10-27 2001-05-15 Brooks Automation, Inc. Substrate transport apparatus with angled arms
JP3105544B2 (ja) * 1996-03-18 2000-11-06 株式会社小松製作所 ワーク搬送システムの制御装置
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6540466B2 (en) * 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
EP0946780B1 (de) * 1996-12-23 2002-01-16 Unaxis Balzers Aktiengesellschaft Vakuumbehandlungsanlage
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6073366A (en) * 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH11129184A (ja) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
JP3988805B2 (ja) * 1997-10-02 2007-10-10 大日本スクリーン製造株式会社 基板搬送方法及びその装置
DE19748088A1 (de) * 1997-10-30 1999-05-12 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zum Erkennen einer Fehllage einer Halbleiterscheibe
US6139678A (en) * 1997-11-20 2000-10-31 Trusi Technologies, Llc Plasma processing methods and apparatus
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
NL1008143C2 (nl) * 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6000905A (en) * 1998-03-13 1999-12-14 Toro-Lira; Guillermo L. High speed in-vacuum flat panel display handler
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
IT1308606B1 (it) * 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US6350097B1 (en) * 1999-04-19 2002-02-26 Applied Materials, Inc. Method and apparatus for processing wafers
DE19921072A1 (de) * 1999-05-08 2000-11-09 Acr Automation In Cleanroom Einrichtung zum Handhaben von Substraten innerhalb und außerhalb eines Reinstarbeitsraumes
JP2000332096A (ja) * 1999-05-21 2000-11-30 Bridgestone Corp 製品ホルダー
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
JP2001031213A (ja) * 1999-07-26 2001-02-06 Murata Mach Ltd 自動倉庫とそれを用いた搬送システム
EP1228528B1 (en) * 1999-09-10 2014-08-13 Oerlikon USA Inc. Magnetic pole fabrication process and device
KR100327801B1 (ko) * 1999-09-14 2002-03-15 구자홍 광디스크 장치에서의 디스크 로딩 확인방법
US6547975B1 (en) 1999-10-29 2003-04-15 Unaxis Usa Inc. Magnetic pole fabrication process and device
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6402508B2 (en) * 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
KR100462237B1 (ko) * 2000-02-28 2004-12-17 주성엔지니어링(주) 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비
EP1275966B1 (en) * 2000-03-15 2006-07-12 Hitachi, Ltd. Automatic analyzer and part feeding device used for the analyzer
US6576568B2 (en) * 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6420864B1 (en) * 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
US6582175B2 (en) * 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6919001B2 (en) * 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
KR100767294B1 (ko) * 2000-06-23 2007-10-16 캐논 아네르바 가부시키가이샤 Cvd장치
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
WO2002005332A2 (en) * 2000-07-07 2002-01-17 Applied Materials, Inc. Loadlock chamber
US6709522B1 (en) * 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
JP4342745B2 (ja) * 2000-09-27 2009-10-14 株式会社日立国際電気 基板処理方法および半導体装置の製造方法
US6370796B1 (en) * 2000-09-29 2002-04-16 Sony Corporation Heater block cooling system for wafer processing apparatus
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
JP5034138B2 (ja) * 2001-01-25 2012-09-26 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR20020071393A (ko) * 2001-03-06 2002-09-12 주식회사 아이피에스 자동연속 웨이퍼가공시스템 및 그를 이용한 웨이퍼가공방법
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
US20040096300A1 (en) * 2001-06-30 2004-05-20 Ilya Perlov Loadlock chamber
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP4731755B2 (ja) * 2001-07-26 2011-07-27 東京エレクトロン株式会社 移載装置の制御方法および熱処理方法並びに熱処理装置
US20030022498A1 (en) * 2001-07-27 2003-01-30 Jeong In Kwon CMP system and method for efficiently processing semiconductor wafers
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100499211B1 (ko) * 2001-11-13 2005-07-07 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP2003183728A (ja) * 2001-12-14 2003-07-03 Jh Corp 真空熱処理装置
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
JP2003293134A (ja) * 2002-04-09 2003-10-15 Tdk Corp 薄膜形成装置および方法、および当該装置を用いた電子部品の製造方法
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194299A1 (en) * 2002-04-15 2003-10-16 Yoo Woo Sik Processing system for semiconductor wafers
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100481277B1 (ko) * 2002-05-10 2005-04-07 한국디엔에스 주식회사 반도체 제조 장치 및 방법
KR100475077B1 (ko) * 2002-05-31 2005-03-10 삼성전자주식회사 캐패시터의 유전막 형성방법
US6589850B1 (en) * 2002-06-04 2003-07-08 Newport Fab, Llc Method and system for fabricating a bipolar transistor and related structure
US20060083495A1 (en) * 2002-07-15 2006-04-20 Qiu Taiquing Variable heater element for low to high temperature ranges
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7677859B2 (en) * 2002-07-22 2010-03-16 Brooks Automation, Inc. Substrate loading and uploading station with buffer
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6869263B2 (en) 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
AU2003277790A1 (en) * 2002-11-15 2004-06-15 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US20040179923A1 (en) * 2002-12-23 2004-09-16 Lockheed Martin Corporation Automated transportation mechanism for conveyence and positioning of test containers
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
US20050158883A1 (en) * 2003-03-05 2005-07-21 Fujitsu Limited Multilayered structure film and method of making the same
CN101094933A (zh) * 2003-08-29 2007-12-26 交叉自动控制公司 用于半导体处理的方法和装置
KR20060095951A (ko) 2003-09-25 2006-09-05 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 기판의 제조 방법
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
KR100527671B1 (ko) * 2004-02-19 2005-11-28 삼성전자주식회사 웨이퍼 상에 막을 형성하는 방법
US7355715B2 (en) * 2004-10-12 2008-04-08 Tokyo Electron Limited Temperature measuring apparatus, temperature measurement method, temperature measurement system, control system and control method
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7611322B2 (en) * 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
JP4502127B2 (ja) * 2005-04-01 2010-07-14 株式会社ダイフク カセット保管及び被処理板の処理設備
KR100621775B1 (ko) * 2005-04-15 2006-09-15 삼성전자주식회사 기판 세정장치
TWI447061B (zh) 2005-07-11 2014-08-01 Brooks Automation Inc 備有自動化對準功能的基板移送裝置
US9104650B2 (en) 2005-07-11 2015-08-11 Brooks Automation, Inc. Intelligent condition monitoring and fault diagnostic system for preventative maintenance
WO2007008940A2 (en) * 2005-07-11 2007-01-18 Brooks Automation, Inc. Intelligent condition-monitoring and dault diagnostic system
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070084716A1 (en) * 2005-10-16 2007-04-19 Makoto Nagashima Back-biased face target sputtering based high density non-volatile data storage
EP2541179A3 (en) * 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
WO2007118252A2 (en) * 2006-04-11 2007-10-18 Applied Materials, Inc. System architecture and method for solar panel formation
JP4727500B2 (ja) * 2006-05-25 2011-07-20 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7880155B2 (en) * 2006-06-15 2011-02-01 Brooks Automation, Inc. Substrate alignment apparatus comprising a controller to measure alignment during transport
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
JP4904995B2 (ja) * 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
JP2008100805A (ja) * 2006-10-18 2008-05-01 Ihi Corp 基板保管庫
JP4744427B2 (ja) * 2006-12-27 2011-08-10 大日本スクリーン製造株式会社 基板処理装置
US20080173350A1 (en) * 2007-01-18 2008-07-24 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080206021A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for magnetic media processing tool
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
CN101674893B (zh) * 2007-05-09 2012-08-08 应用材料公司 用真空延伸室储放遮盘的传输室及包含该传输室的主框架及设备组
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置
DE102008019023B4 (de) * 2007-10-22 2009-09-24 Centrotherm Photovoltaics Ag Vakuum-Durchlaufanlage zur Prozessierung von Substraten
EP2215652A4 (en) * 2007-11-02 2011-10-05 Applied Materials Inc PLASMA TREATMENT BETWEEN DECISION PROCESSES
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
US8383202B2 (en) 2008-06-13 2013-02-26 Kateeva, Inc. Method and apparatus for load-locked printing
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US8899171B2 (en) 2008-06-13 2014-12-02 Kateeva, Inc. Gas enclosure assembly and system
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
US10442226B2 (en) 2008-06-13 2019-10-15 Kateeva, Inc. Gas enclosure assembly and system
JP2010171388A (ja) * 2008-12-25 2010-08-05 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法及び基板処理用反応管
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
US8759084B2 (en) * 2010-01-22 2014-06-24 Michael J. Nichols Self-sterilizing automated incubator
JP5318005B2 (ja) 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
JP2012009519A (ja) * 2010-06-23 2012-01-12 Hitachi High-Technologies Corp 真空処理装置
JP5243569B2 (ja) * 2011-03-07 2013-07-24 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
JP5666361B2 (ja) 2011-03-29 2015-02-12 株式会社Screenセミコンダクターソリューションズ 基板処理装置
JP5925217B2 (ja) * 2011-12-15 2016-05-25 タツモ株式会社 ウエハ搬送装置
KR101215511B1 (ko) * 2012-06-27 2012-12-26 (주)이노시티 프로세스 챔버 및 기판 처리 장치
KR101878084B1 (ko) 2013-12-26 2018-07-12 카티바, 인크. 전자 장치의 열 처리를 위한 장치 및 기술
CN107611287A (zh) 2014-01-21 2018-01-19 科迪华公司 用于电子装置封装的设备和技术
CN106233449B (zh) 2014-04-30 2019-07-12 科迪华公司 用于衬底涂覆的气垫设备和技术
US20160035563A1 (en) * 2014-08-01 2016-02-04 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for processing semiconductor wafers
WO2017087337A1 (en) 2015-11-16 2017-05-26 Kateeva, Inc. Systems and methods for thermal processing of a substrate
KR101627983B1 (ko) * 2015-12-17 2016-06-13 주식회사 일신에프에이 퍼지용 접이식 웨이퍼 캐리어 버퍼장치
KR102359048B1 (ko) 2017-09-01 2022-02-08 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US11133207B2 (en) * 2018-08-30 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming films on wafers separated by different distances
US11673275B2 (en) 2019-02-08 2023-06-13 Yaskawa America, Inc. Through-beam auto teaching
CN112687587A (zh) * 2020-12-25 2021-04-20 上海华力集成电路制造有限公司 真空腔体晶圆传送阀门控制结构

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263872A (en) * 1980-01-31 1981-04-28 Rca Corporation Radiation heated reactor for chemical vapor deposition on substrates
US4681773A (en) * 1981-03-27 1987-07-21 American Telephone And Telegraph Company At&T Bell Laboratories Apparatus for simultaneous molecular beam deposition on a plurality of substrates
JPH0666295B2 (ja) * 1983-06-29 1994-08-24 東京応化工業株式会社 多段プラズマ処理装置
JPS63139811A (ja) * 1986-11-29 1988-06-11 Toshiba Corp 枚葉製造装置
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH0330320A (ja) * 1989-06-27 1991-02-08 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
JP2825616B2 (ja) * 1990-05-21 1998-11-18 東京エレクトロン株式会社 板状体搬送装置
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
JP2889657B2 (ja) * 1990-05-28 1999-05-10 東京エレクトロン株式会社 板状体搬送装置
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
KR0162102B1 (ko) * 1991-05-29 1999-02-01 이노우에 아키라 반도체 제조장치
JP3238432B2 (ja) * 1991-08-27 2001-12-17 東芝機械株式会社 マルチチャンバ型枚葉処理装置
JP2533706B2 (ja) * 1991-09-13 1996-09-11 株式会社日立製作所 基板搬送方法、及びその装置
JPH05152215A (ja) * 1991-11-29 1993-06-18 Hitachi Ltd 成膜装置
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
JPH05238513A (ja) * 1992-02-19 1993-09-17 Nec Corp 半導体ウェハー収納カセットと半導体ウェハー搬送機構との位置決め方法
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
JPH06219513A (ja) * 1993-01-27 1994-08-09 Nikon Corp 搬送装置
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
US5474410A (en) * 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3066691B2 (ja) * 1993-09-17 2000-07-17 東京エレクトロン株式会社 マルチチャンバー処理装置及びそのクリーニング方法
KR100280947B1 (ko) * 1993-10-04 2001-02-01 마쓰바 구니유키 판 형상체 반송장치
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5534074A (en) * 1995-05-17 1996-07-09 Heraeus Amersil, Inc. Vertical boat for holding semiconductor wafers
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100487393B1 (ko) * 1997-10-13 2005-06-16 도쿄 오카 고교 가부시키가이샤 처리장치구축체
KR100310080B1 (ko) * 1998-01-15 2001-12-17 문태수 기능성솜의제조방법

Also Published As

Publication number Publication date
KR100310249B1 (ko) 2001-12-17
KR970013175A (ko) 1997-03-29
US6143083A (en) 2000-11-07
KR100244041B1 (ko) 2000-02-01
US5788447A (en) 1998-08-04
US6066210A (en) 2000-05-23

Similar Documents

Publication Publication Date Title
KR970012986A (ko) 기판처리장치
TWI711909B (zh) 用於處理基板的設備及系統
US6565662B2 (en) Vacuum processing apparatus for semiconductor process
US6428262B1 (en) Compact load lock system for ion beam processing of foups
US8382088B2 (en) Substrate processing apparatus
KR100483428B1 (ko) 기판 가공 장치
US7073999B2 (en) Receiving container body for object to be processed
JPH06268046A (ja) 制御された環境密閉容器および機械インターフェイス
WO2003056624A1 (fr) Dispositif de transport d'un corps traite et systeme de traitement equipe d'un dispositif de transport
US20040237244A1 (en) Purge system for product container and interface seal used in the system
JP2003017543A (ja) 基板処理装置、基板処理方法、半導体装置の製造方法および搬送装置
CN112970098B (zh) 侧存储舱、电子装置处理系统及其操作方法
KR20130072117A (ko) 로봇의 아암 구조 및 로봇
US10229847B2 (en) Substrate transfer chamber and container connecting mechanism with lid opening mechanisms
CN101326624A (zh) 一种用于运输和存储半导体基片的密封封闭体
JP2000068219A (ja) 被処理物搬送装置、半導体製造装置及び被処理物の処理方法
KR101717322B1 (ko) 로드 로크 장치
KR101964327B1 (ko) 웨이퍼 이송 장치
WO2001020663A1 (fr) Dispositif de traitement par le vide
KR101931727B1 (ko) 웨이퍼 이송 장치
JP7154986B2 (ja) 基板搬送装置及び基板搬送システム
JP2004087781A (ja) 真空処理装置及び真空処理方法
JP2004140278A (ja) 移動式収納装置及び基板搬入装置
WO2019177047A1 (ja) 密閉設備
KR20130016359A (ko) 기판 처리 방법 및 기판 처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 17

EXPY Expiration of term