KR960008969A - 반도체 처리 시스템 - Google Patents

반도체 처리 시스템 Download PDF

Info

Publication number
KR960008969A
KR960008969A KR1019950025449A KR19950025449A KR960008969A KR 960008969 A KR960008969 A KR 960008969A KR 1019950025449 A KR1019950025449 A KR 1019950025449A KR 19950025449 A KR19950025449 A KR 19950025449A KR 960008969 A KR960008969 A KR 960008969A
Authority
KR
South Korea
Prior art keywords
unit
casing
entry
units
processing system
Prior art date
Application number
KR1019950025449A
Other languages
English (en)
Other versions
KR0172159B1 (ko
Inventor
이세이 이마하시
Original Assignee
이노우에 아키라
도쿄에레쿠토론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이노우에 아키라, 도쿄에레쿠토론 가부시키가이샤 filed Critical 이노우에 아키라
Publication of KR960008969A publication Critical patent/KR960008969A/ko
Application granted granted Critical
Publication of KR0172159B1 publication Critical patent/KR0172159B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

반도체 웨이퍼를 처리하기 위한 멀티 챔버형 처리 시스템은, 처리유닛, 반송유닛, 접속유닛 그리고 입출유닛으로부터 선택된 다수의 유닛이 게이트 밸브를 통해 연결되도록 구성된다. 각가의 유닛은 각 웨이퍼가 통과하는 하나이상의 개구를 구비한 케이싱을 가지고 있다. 게이트 밸브는 개구에 구비된 플랜지에 부착된다. 개구들은, 유닛들이 90°의 단위로 규정되는 방향으로 연결되며 웨이퍼의 반송 방향도 90°의 단위로 규정되는 방향으로 연결되며 웨이퍼의 반송 방향도 90°의 단위로 규정되도록 위치한다. 케이싱의 다른 개구와 연결되지 않는 유닛의 케이싱 개구들은 블라인드 판에 의해 기밀하게 폐쇄되어 유닛의 케이싱이 진공 챔버를 형성하도록 한다. 유닛의 각 케이싱 내압은 개별적으로 제어된다.

Description

반도체 처리 시스템
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 일 실시예에 따른 멀티챔버형 처리 시스템을 기본 유닛으로 분리한 평면도.

Claims (20)

  1. 다수의 기판을 처리하기 위한 반도체 처리 시스템에 있어서, 각각 기판이 통과하는 최소한 하나의 개구를 구비한 처리 케이싱과, 각각의 기판을 처리케이싱 내에 지지하기 위한 지지 수단과, 처리 케이싱내에서 각각의 기판에 반도체처리를 행하기 위한 수단을 각각 구비하고 있는 제1 및 제2처리유닛과; 각각 기판이 통과하는 최소한 네개의 개구를 구비한 반송케이싱과, 각각의 기판을 이송하기 위해 반송케이싱내에 구비된 반송암을 각각 구비하며, 두개의 관련 유닛의 인접개구를 개방 및 기밀하게 연결하는 접속체를 통해 제1 및 제2처리유닛에 연결되는 제1 및 제2반송유닛과; 각각 기판이 통과하는 최소한 두개의 개구를 구비한 접속케이싱과, 각각의 기판을 지지하기 위해 접속케이싱내에 구비된 테이블을 가지며, 두개의 관련유닛의 인접 개구를 개방 및 기밀하게 연결하는 접속체를 통해 제1 및 제2반송유닛에 연결되는 접속유닛과; 각각 기판이 통과하는 최소한 하나의 개구를 구비한 입출케이싱과, 입출케이싱 내에서 간격을 두고 기판을 저장하고 있는 최소한 하나의 카세트를 수직 이동시키기 위한 수직 이동수단을 구비하며, 두개의 관련 유닛의 인접개구를 개방 및 기밀하게 연결하는 접속체를 통해 제1반송유닛에 연결되는 입출유닛으로 구성되며, 각 유닛의 개구는, 각 유닛이 90°의 단위로 규정되는 방향으로 연결되며, 기판의 반송 방향은 90°의 단위로 규정되도록 위치되며, 케이싱의 어떤 다른 개구와도 연결되지 않는 처리유닛, 반송유닛 및 접속유닛의 케이싱의 개구들은 블라인드판에 의해 기밀하게 폐쇄되어 처리유닛, 반송유닛 및 접속 유닛의 각 케이싱이 진공챔버를 형성하도록 한 것을 특징으로 하는 반도체 처리 시스템.
  2. 제1항에 있어서, 불활성기체 공급시스템 및 배기시스템은 처리유닛, 반송유닛 그리고 접속유닛의 각 케이싱에 연결되며, 각 케이싱의 내압은 개별적으로 제어되는 것을 특징으로 하는 반도체 처리 시스템.
  3. 제2항에 있어서, 입출력유닛의 케이싱은 진공챔버를 이루며, 불활성 기체공급시스템 및 배기시스템이 입출유닛의 케이싱에 연결되며, 입출유닛의 케이싱 내압은 개별적으로 제어되는 것을 특징으로 하는 반도체 처리 시스템.
  4. 제3항에 있어서, 카세트는 불활성기체로 채워진 콘테이너에 저장된 상태로 입출유닛에 공급되며, 상기 콘테이너는 개방 단부를 갖는 콘테이너 본체와, 콘테이너 본체의 개방 단부를 폐쇄하고 카세트를 지지하기 위한 저부판을 가지며, 입출유닛은 또한 콘테이너 본체와 함께 폐쇄 공간을 만들기 위한 수단을 포함하며, 폐쇄공간이 만들어진 동안 저부판은 수직이동수단에 의해 콘테이너본체로부터 이동하며, 카세트는 콘테이너로부터 카세트의 케이싱 속으로 취해지는 것을 특징으로 하는 반도체 처리 시스템.
  5. 제1항에 있어서, 접속체는 공동 설치차원을 갖는 게이트밸브를 포함하는 것을 특징으로 하는 반도체 처리 시스템.
  6. 제1항에 있어서, 접속유닛은 180°로 규정된 두개의 방향으로 또는 90°로 규정된 두개의 방향으로 위치한 두개의 개구를 갖는 것을 특징으로 하는 반도체 처리 시스템.
  7. 제1항에 있어서, 접속유닛은 기판이 시험, 정렬, 온도제어 및 성막 공정으로 구성된 그룹으로부터 선택된 최소한 하나의 특정 처리를 받도록 하기 위한 수단을 포함하는 것을 특징으로 하는 반도체 처리 시스템.
  8. 제1항에 있어서, 입출유닛의 케이싱은 두개의 개구를 가지며, 수직이동수단은 두개의 카세트를 수직으로 이동시킬 수 있는 것을 특징으로 하는 반도체 처리 시스템.
  9. 제1항에 있어서, 상기 입출유닛과 실제로 동일한 하나의 입출 유닛이 접속체를 통하여 제2반송유닛에 연결되는 것을 특징으로 하는 반도체 처리 시스템.
  10. 제1항에 있어서, 각 유닛은 기본적으로 기준 정방체의 N배(n=4이하의 양의 정수)에 상응하는 설치 공간을 갖도록 설계되는 것을 특징으로 하는 반도체 처리 시스템.
  11. 기판을 처리하기 위한 반도체 처리 시스템에 있어서, 처리 시스템은 다수의 처리유닛, 다수의 반송유닛, 다수의 접속유닛, 그리고 다수의 입출유닛들로부터 선택된 최소한 두개의 처리유닛, 최소한 두개의 반송유닛, 최소한 하나의 접속유닛 그리고 최소한 하나의 입출유닛이 접속체를 통하여 연결되도록 구성되며, 각각의 유닛은 각 기판이 통과하는 하나 이상의 개구를 구비한 케이싱을 가지며, 각각의 접속체는 두개의 관련유닛의 인접개구를 개방 및 기밀하게 연결하며, 각 유닛의 개구는 유닛이 90°의 단위로 규정되는 방향으로 연결되며 기판의 반송방향은 90°의 단위로 규정되도록 위치되며, 케이싱의 어떤 다른 개구와도 연결되지 않은 선택된 처리유닛, 반송유닛 및 접속유닛의 케이싱의 개구들은 블라인드판에 의해 기밀하게 폐쇄되어 선택된 처리유닛, 반송유닛 및 접속유닛의 각 케이싱이 진공챔버를 형성하며, 각각의 처리유닛은 최소한 하나의 개구와, 각 기판을 그의 케이싱내에 지지하기 위한 지지수단과, 각 기판을 그의 케이싱내에서 반도체처리를 받도록 하기 위한 수단을 가지며, 각각의 반송유닛은 최소한 네개의 개구와, 각 기판을 이송하기 위해 케이싱내에 구비된 반송암을 가지며, 각각의 처리유닛은 접속체를 통해 하나의 반송유닛에 연결되며, 각각의 접속유닛은 최소한 두개의 개구와, 각 기판을 지지하기 위해 케이싱내에 구비된 테이블을 가지며, 각각의 접속유닛은 접속체를 통해 최소한 하나의 반송유닛에 연결되며, 최소한 하나의 접속유닛은 두개의 반송유닛 사이에 삽입되며, 각각의 입출유닛은 최소한 하나의 개구와, 케이싱내에서 간격을 두고 기판을 저장하기 위한 최소한 하나의 카세트를 수직이동시키기 위한 수직이동수단을 가지며, 각 입출유닛은 접속체를 통해 최소한 하나의 반송유닛에 연결되는 것을 특징으로 하는 반도체 처리 시스템.
  12. 제11항에 있어서, 불활성기체 공급시스템 및 배기시스템은 선택된 처리유닛, 반송유닛 그리고 접속유닛의 각 케이싱에 연결되며, 각 케이싱의 내압은 개별 적으로 제어되는 것을 특징으로 하는 반도체 처리 시스템.
  13. 제12항에 있어서, 입출유닛의 케이싱은 진공챔버를 이루며, 불활성기체 공급시스템 및 배기시스템이 입출유닛의 케이싱에 연결되며, 입출유닛의 케이싱 내압은 개별적으로 제어되는 것을 특징으로 하는 반도체 처리 시스템.
  14. 제13항에 있어서, 카세트는 불활성기체로 채워진 콘테이너에 저장된 상태로 선택된 입출유닛에 공급되며, 상기 콘테이너는 개방 단부를 갖는 콘테이너 본체와, 콘테이너 본체의 개방 단부를 폐쇄하고 카세트를 지지하기 위한 저부판을 가지며, 상기 선택된 입출유닛은 또한 콘테이너 본체와 함께 폐쇄공간을 만들기 위한 수단을 포함하며, 폐쇄공간이 만들어진 동안 저부판은 수직이동수단에 의해 콘테이너본체로부터 이동하며, 카세트는 콘테이너로부터 카세트의 케이싱 속으로 취해지는 것을 특징으로 하는 반도체 처리 시스템.
  15. 제11항에 있어서, 접속체는 공동 설치차원을 갖는 게이트 밸브를 포함하는 것을 특징으로 하는 반도체 처리 시스템.
  16. 제11항에 있어서, 상기 복수의 접속유닛은 180°로 규정된 두개의 방향으로 위치한 두개의 개구를 갖는 제1접속유닛과, 90°로 규정된 두개의 방향으로 위치한 두개의 개구를 갖는 제2접속유닛을 포함하는 것을 특징으로 하는 반도체 처리 시스템.
  17. 제11항에 있어서, 복수의 접속유닛의 각각은 기판이 시험, 정렬, 온도제어 및 성막 공정으로 구성된 그룹으로부터 선택된 최소한 하나의 특정 처리를 받도록 하기 위한 수단을 선택적으로 포함하는 것을 특징으로 하는 반도체 처리 시스템.
  18. 제11항에 있어서, 선택된 입출유닛의 케이싱은 두개의 개구를 가지며, 수직이동수단은 두개의 카세트를 수직으로 이동시킬 수 있는 것을 특징으로 하는 반도체 처리 시스템.
  19. 제11항에 있어서, 상기 시스템은 복수의 입출유닛중 선택된 두개를 포함하며 상기 입출유닛은 접속체를 통하여 선택된 반송유닛에 각각 연결되는 것을 특징으로 하는 반도체 처리 시스템.
  20. 제11항에 있어서, 각 유닛은 기본적으로 기준 정방체의 N배(n=4이하의 양의 정수)에 상응하는 설치 공간을 갖도록 설계되는 것을 특징으로 하는 반도체 처리 시스템.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019950025449A 1994-08-19 1995-08-18 반도체 처리 시스템 KR0172159B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP94-218223 1994-08-19
JP21822394 1994-08-19

Publications (2)

Publication Number Publication Date
KR960008969A true KR960008969A (ko) 1996-03-22
KR0172159B1 KR0172159B1 (ko) 1999-03-30

Family

ID=16716547

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950025449A KR0172159B1 (ko) 1994-08-19 1995-08-18 반도체 처리 시스템

Country Status (3)

Country Link
US (1) US5695564A (ko)
KR (1) KR0172159B1 (ko)
TW (1) TW295677B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100600879B1 (ko) * 2004-09-21 2006-07-19 삼성에스디아이 주식회사 멀티 챔버 진공 증착 장치
KR100789461B1 (ko) * 2000-08-22 2008-01-02 에이에스엠 저펜 가부시기가이샤 반도체처리모듈과 장치

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5764365A (en) 1993-11-09 1998-06-09 Nova Measuring Instruments, Ltd. Two-dimensional beam deflector
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6672819B1 (en) 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
DE69635908T2 (de) * 1995-08-03 2006-11-23 Ngk Insulators, Ltd., Nagoya Gesinterte Aluminiumnitridkörper und deren Verwendung als Subtrat in einer Vorrichtung zur Herstellung von Halbleitern
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
TW340957B (en) * 1996-02-01 1998-09-21 Canon Hanbai Kk Plasma processor and gas release device
US6046439A (en) 1996-06-17 2000-04-04 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
US6198074B1 (en) 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6254747B1 (en) * 1996-12-25 2001-07-03 Nihon Shinku Gijutsu Kabushiki Kaisha Magnetron sputtering source enclosed by a mirror-finished metallic cover
JP3579228B2 (ja) * 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
GB9713390D0 (en) * 1997-06-26 1997-08-27 Trikon Equip Ltd Apparatus for processing workpieces
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
DE69825143T2 (de) * 1997-11-21 2005-08-11 Ebara Corp. Vorrichtung zum polieren
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
JPH11204411A (ja) * 1998-01-19 1999-07-30 Nikon Corp 塗布現像露光装置
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6079693A (en) * 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
JP4722244B2 (ja) * 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド 所定のフォトリソグラフィ工程に従って基板を加工する装置
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
IL126949A (en) * 1998-11-08 2004-03-28 Nova Measuring Instr Ltd Apparatus for integrated monitoring of wafers and for process control in semiconductor manufacturing and a method for use thereof
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2002530847A (ja) * 1998-11-13 2002-09-17 マットソン テクノロジイ インコーポレイテッド 半導体基板を処理する熱処理装置、システム及び方法
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6350321B1 (en) * 1998-12-08 2002-02-26 International Business Machines Corporation UHV horizontal hot wall cluster CVD/growth design
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6347918B1 (en) 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
AU3888400A (en) * 1999-03-19 2000-10-09 Electron Vision Corporation Cluster tool for wafer processing having an electron beam exposure module
TW552306B (en) 1999-03-26 2003-09-11 Anelva Corp Method of removing accumulated films from the surfaces of substrate holders in film deposition apparatus, and film deposition apparatus
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
CN1347566A (zh) * 1999-04-16 2002-05-01 东京电子株式会社 半导体器件的制造方法及其制造生产线
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
JP4578615B2 (ja) * 1999-07-21 2010-11-10 東京エレクトロン株式会社 熱処理装置
TW504941B (en) * 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
KR100327801B1 (ko) * 1999-09-14 2002-03-15 구자홍 광디스크 장치에서의 디스크 로딩 확인방법
US20100330748A1 (en) 1999-10-25 2010-12-30 Xi Chu Method of encapsulating an environmentally sensitive device
US7198832B2 (en) 1999-10-25 2007-04-03 Vitex Systems, Inc. Method for edge sealing barrier films
US6866901B2 (en) 1999-10-25 2005-03-15 Vitex Systems, Inc. Method for edge sealing barrier films
US6413645B1 (en) 2000-04-20 2002-07-02 Battelle Memorial Institute Ultrabarrier substrates
US6623861B2 (en) 2001-04-16 2003-09-23 Battelle Memorial Institute Multilayer plastic substrates
US6342691B1 (en) 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6486069B1 (en) * 1999-12-03 2002-11-26 Tegal Corporation Cobalt silicide etch process and apparatus
US6780250B2 (en) * 2000-01-28 2004-08-24 Texas Instruments Incorporated System and method for integrated oxide removal and processing of a semiconductor wafer
JP4268303B2 (ja) * 2000-02-01 2009-05-27 キヤノンアネルバ株式会社 インライン型基板処理装置
JP2001291655A (ja) * 2000-04-07 2001-10-19 Tokyo Electron Ltd 疎水化処理の評価方法、レジストパターンの形成方法及びレジストパターン形成システム
US7517551B2 (en) * 2000-05-12 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a light-emitting device
US6427095B1 (en) * 2000-05-12 2002-07-30 Advanced Micro Devices, Inc. Universal multi-tool adapter for reconfiguring a wafer processing line
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6881269B2 (en) * 2000-08-17 2005-04-19 Novartis Ag Lens plasma coating system
JP4004248B2 (ja) * 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 基板処理装置および基板検査方法
KR20020019414A (ko) * 2000-09-05 2002-03-12 엔도 마코토 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
EP1326271A4 (en) * 2000-09-18 2005-08-24 Tokyo Electron Ltd METHOD FOR FILMING A GATE INSULATOR, DEVICE FOR FILMING A GATE INSULATOR AND A CLUSTER TOOL
US6676356B2 (en) * 2000-09-18 2004-01-13 Tokyo Electron Limited Device for attaching target substrate transfer container to semiconductor processing apparatus
US20020038791A1 (en) * 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US6551045B2 (en) * 2000-11-17 2003-04-22 Nikon Corporation Wafer stage chamber
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
JP2002289671A (ja) * 2001-03-28 2002-10-04 Toshiba Corp 半導体製造装置及び半導体装置の製造システム
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
AU2002365591A1 (en) * 2001-11-29 2003-06-10 Diamond Semiconductor Group, Llc. Wafer handling apparatus and method
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
US6537909B1 (en) * 2002-01-03 2003-03-25 United Microelectronics Corp. Method of preventing silicide spiking
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
JP2003243295A (ja) * 2002-02-20 2003-08-29 Dainippon Screen Mfg Co Ltd 基板処理装置
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US8900366B2 (en) 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US8808457B2 (en) * 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
TWI336905B (en) * 2002-05-17 2011-02-01 Semiconductor Energy Lab Evaporation method, evaporation device and method of fabricating light emitting device
US20040035360A1 (en) * 2002-05-17 2004-02-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
KR20040007963A (ko) * 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
DE10340147B4 (de) * 2002-08-27 2014-04-10 Kyocera Corp. Trockenätzverfahren und Trockenätzvorrichtung
US7459098B2 (en) * 2002-08-28 2008-12-02 Kyocera Corporation Dry etching apparatus, dry etching method, and plate and tray used therein
US7556741B2 (en) * 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004207687A (ja) * 2002-12-10 2004-07-22 Sharp Corp 半導体製造装置とそれを用いた半導体製造方法
US20040118659A1 (en) * 2002-12-20 2004-06-24 Clinton Haris Method and system for operating a semiconductor factory
MXPA05007215A (es) 2003-01-02 2005-09-12 Univ Loma Linda Med Sistema para administracion de configuracion y recuperacion para sistema de terapia con haz de protones.
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7648925B2 (en) 2003-04-11 2010-01-19 Vitex Systems, Inc. Multilayer barrier stacks and methods of making multilayer barrier stacks
US7510913B2 (en) 2003-04-11 2009-03-31 Vitex Systems, Inc. Method of making an encapsulated plasma sensitive device
CN100408902C (zh) * 2003-05-13 2008-08-06 应用材料股份有限公司 密封一处理室一开口的方法与装置
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
JP2005101584A (ja) * 2003-08-28 2005-04-14 Suss Microtec Test Systems Gmbh 基板を検査する装置
JP4291096B2 (ja) * 2003-09-22 2009-07-08 大日本スクリーン製造株式会社 基板処理装置および基板処理装置のための機能ブロック組合せシステム
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8029226B2 (en) * 2003-11-10 2011-10-04 Brooks Automation, Inc. Semiconductor manufacturing systems
US8267632B2 (en) * 2003-11-10 2012-09-18 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8313277B2 (en) * 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US6977429B2 (en) * 2003-12-05 2005-12-20 Texas Instruments Incorporated Manufacturing system and apparatus for balanced product flow with application to low-stress underfilling of flip-chip electronic devices
US20050256011A1 (en) * 2004-01-23 2005-11-17 Metal Oxide Technologies, Inc. System and method for quality testing of superconducting tape
KR100558558B1 (ko) * 2004-01-26 2006-03-10 삼성전자주식회사 멀티챔버 프로세스장치
JP2005286102A (ja) * 2004-03-30 2005-10-13 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US7422653B2 (en) * 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
US20060011297A1 (en) * 2004-07-15 2006-01-19 Jusung Engineering Co., Ltd. Semiconductor manufacturing apparatus
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
KR100621775B1 (ko) * 2005-04-15 2006-09-15 삼성전자주식회사 기판 세정장치
US20060278164A1 (en) * 2005-06-10 2006-12-14 Petrach Philip M Dual gate isolating maintenance slit valve chamber with pumping option
US9104650B2 (en) 2005-07-11 2015-08-11 Brooks Automation, Inc. Intelligent condition monitoring and fault diagnostic system for preventative maintenance
US7882394B2 (en) * 2005-07-11 2011-02-01 Brooks Automation, Inc. Intelligent condition-monitoring and fault diagnostic system for predictive maintenance
KR101363591B1 (ko) 2005-07-11 2014-02-14 브룩스 오토메이션 인코퍼레이티드 자동 정렬 기능을 갖는 기판 이송 장치
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
TW200715448A (en) * 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
US7767498B2 (en) 2005-08-25 2010-08-03 Vitex Systems, Inc. Encapsulated devices and method of making
KR100758298B1 (ko) * 2006-03-03 2007-09-12 삼성전자주식회사 기판 처리 장치 및 방법
JP4889326B2 (ja) * 2006-03-13 2012-03-07 東京エレクトロン株式会社 処理装置および蓋体の開閉機構
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7880155B2 (en) * 2006-06-15 2011-02-01 Brooks Automation, Inc. Substrate alignment apparatus comprising a controller to measure alignment during transport
JP4098338B2 (ja) 2006-07-20 2008-06-11 川崎重工業株式会社 ウェハ移載装置および基板移載装置
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
JP2008311351A (ja) * 2007-06-13 2008-12-25 Hitachi High-Technologies Corp 荷電粒子線装置
KR100887161B1 (ko) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
CN101785094A (zh) * 2007-08-31 2010-07-21 佳能安内华股份有限公司 基板处理设备
WO2009060540A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
CN102280399B (zh) * 2007-11-09 2013-11-13 佳能安内华股份有限公司 在线型晶圆输送装置
JP4582181B2 (ja) * 2008-04-08 2010-11-17 ソニー株式会社 部品実装装置、実装品の製造方法
US20090291231A1 (en) * 2008-05-21 2009-11-26 Applied Materials, Inc. Method and apparatus for producing a solar cell module with integrated laser patterning
CN102047387B (zh) * 2008-06-30 2012-07-04 S.O.I.Tec绝缘体上硅技术公司 模块化的cvd反应器子系统、其配置方法和独立功能模块
US9184410B2 (en) 2008-12-22 2015-11-10 Samsung Display Co., Ltd. Encapsulated white OLEDs having enhanced optical output
US9337446B2 (en) 2008-12-22 2016-05-10 Samsung Display Co., Ltd. Encapsulated RGB OLEDs having enhanced optical output
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
TWI532114B (zh) * 2009-11-12 2016-05-01 Hitachi High Tech Corp Vacuum processing device and operation method of vacuum processing device
TWI436831B (zh) * 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
US8590338B2 (en) 2009-12-31 2013-11-26 Samsung Mobile Display Co., Ltd. Evaporator with internal restriction
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
TW201137143A (en) * 2010-04-28 2011-11-01 Hon Hai Prec Ind Co Ltd Sputtering system
DE102010019776B4 (de) * 2010-05-07 2015-07-02 Thermo Electron Led Gmbh Klimaschrank mit mehreren Ein- und Ausgabestationen
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP2012009519A (ja) * 2010-06-23 2012-01-12 Hitachi High-Technologies Corp 真空処理装置
JP2012028659A (ja) * 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
JP5785712B2 (ja) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
KR101685752B1 (ko) * 2011-02-08 2016-12-12 도쿄엘렉트론가부시키가이샤 기판 중계 장치, 기판 중계 방법, 기판 처리 장치
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8881629B2 (en) * 2012-06-12 2014-11-11 Graham Packaging Company, L.P. Continuous motion de-flash trimming machine
KR102064391B1 (ko) 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9293355B2 (en) 2012-11-09 2016-03-22 Kabushiki Kaisha Yaskawa Denki Substrate transfer system and substrate processing system
US8975185B2 (en) * 2012-11-26 2015-03-10 Spansion, Llc Forming charge trap separation in a flash memory semiconductor device
KR101527901B1 (ko) * 2013-10-10 2015-06-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
US9564350B1 (en) * 2015-09-18 2017-02-07 Globalfoundries Inc. Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
US10475712B2 (en) * 2016-09-30 2019-11-12 Kla-Tencor Corporation System and method for process-induced distortion prediction during wafer deposition
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
US20180294197A1 (en) * 2017-04-06 2018-10-11 Lam Research Corporation System design for in-line particle and contamination metrology for showerhead and electrode parts
CN108933097B (zh) * 2017-05-23 2023-06-23 东京毅力科创株式会社 真空输送组件和基片处理装置
JP6972852B2 (ja) * 2017-05-23 2021-11-24 東京エレクトロン株式会社 真空搬送モジュール及び基板処理装置
JP6896565B2 (ja) * 2017-08-25 2021-06-30 東京エレクトロン株式会社 インナーウォール及び基板処理装置
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
TWI668386B (zh) * 2018-09-03 2019-08-11 台灣積體電路製造股份有限公司 流體控制元件及其使用方法
CN109571041B (zh) * 2018-12-25 2023-12-12 昆山惠众机电有限公司 一种法兰的自动化生产线
KR20210125067A (ko) 2019-02-08 2021-10-15 야스카와 아메리카 인코포레이티드 관통 빔 자동 티칭
CN110029323B (zh) * 2019-05-14 2020-12-29 枣庄睿诺电子科技有限公司 一种真空镀膜设备
US11049740B1 (en) * 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
KR20220056600A (ko) * 2020-10-28 2022-05-06 삼성전자주식회사 반도체 소자의 제조 장치
JP2022104042A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 基板処理装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
JPS6328863A (ja) * 1986-07-22 1988-02-06 Ulvac Corp 真空処理装置
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPS6459354A (en) * 1987-08-31 1989-03-07 Toppan Printing Co Ltd Production of recessed part structure plate
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JP2926798B2 (ja) * 1989-11-20 1999-07-28 国際電気株式会社 連続処理エッチング方法及びその装置
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH0582623A (ja) * 1991-09-20 1993-04-02 Shinko Electric Co Ltd 機械式インターフエース装置
JP3084825B2 (ja) * 1991-09-20 2000-09-04 神鋼電機株式会社 機械式インターフェース装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5474410A (en) * 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100789461B1 (ko) * 2000-08-22 2008-01-02 에이에스엠 저펜 가부시기가이샤 반도체처리모듈과 장치
KR100600879B1 (ko) * 2004-09-21 2006-07-19 삼성에스디아이 주식회사 멀티 챔버 진공 증착 장치

Also Published As

Publication number Publication date
US5695564A (en) 1997-12-09
TW295677B (ko) 1997-01-11
KR0172159B1 (ko) 1999-03-30

Similar Documents

Publication Publication Date Title
KR960008969A (ko) 반도체 처리 시스템
KR100618355B1 (ko) 전방 말단 연장부 및 내부 기판 버퍼를 갖춘 기판수송기를 구비한 기판 처리 장치
CN108933097B (zh) 真空输送组件和基片处理装置
KR970013175A (ko) 기판처리장치
JP4215079B2 (ja) クリーンストッカと物品の保管方法
US5275709A (en) Apparatus for coating substrates, preferably flat, more or less plate-like substrates
KR102105070B1 (ko) Ald 반응기 내에서의 기판 로딩
KR970077124A (ko) 기판처리시스템 및 기판처리방법
TW327235B (en) A semiconductor processor for processing wafers or other semiconductor articles, a method for processing wafers and similar semiconductor articles, and a wafer handling apparatus for moving wafers to or from an enclosed wafer container the invention relates to a process for processing integrated circuit wafers, semiconductor substrates, data disks and similar units requiring very low contamination levels.
KR940005974A (ko) 진공처리장치
US6234107B1 (en) Auxiliary vacuum chamber and vacuum processing unit using same
KR920015476A (ko) 멀티 챔버처리장치
KR101329664B1 (ko) 진공처리장치 및 진공처리장치의 운전방법
KR20090094054A (ko) 기판 처리 장치, 기판 처리 방법 및, 컴퓨터 판독 가능 기억 매체
JPH05275511A (ja) 被処理体の移載システム及び処理装置
TW423044B (en) Plasma processing apparatus
US7108121B2 (en) Intermediate product transferring apparatus and carrying system having the intermediate product transferring apparatus
KR20110052443A (ko) 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법
KR102433472B1 (ko) 진공 반송 모듈 및 기판 처리 장치
KR102491212B1 (ko) 진공 처리 장치 및 기판 반송 방법
TW278200B (en) Door drive mechanisms for substrate carrier and load lock
KR20140041419A (ko) 기판 중계 장치, 기판 중계 방법, 기판 처리 장치
KR100204942B1 (ko) 열처리 장치
KR100456711B1 (ko) 열처리장치
JPH0294647A (ja) ウェーハ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 17

EXPY Expiration of term