KR20220159488A - 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법 - Google Patents

할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법 Download PDF

Info

Publication number
KR20220159488A
KR20220159488A KR1020227040499A KR20227040499A KR20220159488A KR 20220159488 A KR20220159488 A KR 20220159488A KR 1020227040499 A KR1020227040499 A KR 1020227040499A KR 20227040499 A KR20227040499 A KR 20227040499A KR 20220159488 A KR20220159488 A KR 20220159488A
Authority
KR
South Korea
Prior art keywords
processing chamber
halide
pedestal
showerhead
reactant
Prior art date
Application number
KR1020227040499A
Other languages
English (en)
Inventor
제임스 에스. 심즈
존 헨리
라메시 찬드라세카란
앤드류 존 맥케로우
세샤세이 바라다라잔
캐서린 메세드 켈츠너
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220159488A publication Critical patent/KR20220159488A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

단일 반도체 기판이 페데스탈의 세라믹 표면 상에 지지되고 프로세스 가스가 샤워헤드의 세라믹 표면의 가스 유출구들을 통해 반도체 기판 위의 반응 존 내로 도입되는, PEALD (plasma enhanced atomic layer deposition) 반응 챔버의 마이크로-볼륨 (micro-volume) 내에서 프로세싱된 반도체 기판들 상에 실리콘 나이트라이드 막들을 증착하는 방법은 (a) 불소 플라즈마를 사용하여 페데스탈의 세라믹 표면 및 샤워헤드의 세라믹 표면을 세정하는 단계; (b) 세라믹 표면들 상에 할라이드-프리 (halide-free) ALD (atomic layer deposition) 옥사이드 언더코팅을 증착하는 단계; (c) 할라이드-프리 ALD 옥사이드 언더코팅 상에 ALD 실리콘 나이트라이드의 프리코팅 (precoating) 을 증착하는 단계; 및 (d) 반도체 기판 각각을 반응 챔버 내로 이송하고 페데스탈의 세라믹 표면 상에 지지된 반도체 기판 상에 ALD 실리콘 나이트라이드 막을 증착함으로써 반도체 기판들의 배치 (batch) 를 프로세싱하는 단계를 포함한다.

Description

할라이드-기반 전구체들을 사용하여 금속 프리 ALD 실리콘 나이트라이드 막들을 증착하는 방법{METHOD FOR DEPOSITING METALS FREE ALD SILICON NITRIDE FILMS USING HALIDE-BASED PRECURSORS}
반도체 산업이 발전함에 따라, 디바이스 치수들이 점점 보다 작아진다. 이들 점진적으로 보다 작은 피처들은 막 불순물들의 존재 또는 다른 불균일성들이 종종 반도체 디바이스의 고장을 야기할 수 있기 때문에, 매우 균일한 증착 절차들을 필요로 한다. 내부 챔버 표면들 상의 언더코팅 (undercoat) 은 웨이퍼-대-웨이퍼 (wafer-to-wafer) 두께 균일도 및 웨이퍼-내 (within-wafer) 두께 균일도를 개선하는 것을 도울 수 있다.
반도체 기판이 페데스탈의 세라믹 표면 상에 지지되고 프로세스 가스가 샤워헤드의 세라믹 표면의 가스 유출구들을 통해 반도체 기판 위의 반응 존 내로 도입되는, PEALD (plasma enhanced atomic layer deposition) 반응 챔버의 마이크로-볼륨 (micro-volume) 내에서 프로세싱된 반도체 기판 상에 실리콘 나이트라이드 막들을 증착하는 방법이 본 명세서에 개시된다. 이 방법은 세라믹 표면들을 세정하는 단계, 세라믹 표면들 상에 언더코팅들을 증착하는 단계, 언더코팅 위에 프리-코팅 (pre-coating) 을 증착하는 단계, 및 반도체 기판들을 프로세싱 영역 내로 한번에 하나씩 이송하고 반도체 기판 각각 상에 실리콘 나이트라이드 막을 증착함으로써 프로세싱 영역의 반도체 기판들의 배치 (batch) 를 순차적으로 프로세싱하는 단계를 포함한다. 미리 결정된 수의 반도체 기판들이 프로세싱된 후 그리고/또는 내부 표면들 상의 막 축적물이 미리 결정된 두께에 도달한 후, 반도체 기판들의 또 다른 배치를 프로세싱하기 전에 세정 단계, 언더코팅 단계 및 프리-코팅 단계가 반복될 수 있다.
본 명세서의 실시예들의 일 양태에서, 단일 반도체 기판이 페데스탈의 세라믹 표면 상에 지지되고 프로세스 가스가 샤워헤드의 세라믹 표면의 가스 유출구들을 통해 반도체 기판 위의 반응 존 내로 도입되는, PEALD (plasma enhanced atomic layer deposition) 반응 챔버 내에서 프로세싱된 반도체 기판들 상에 실리콘 나이트라이드 막들이 증착된다. 이 방법은 (a) 불소 플라즈마를 사용하여 페데스탈의 세라믹 표면 및 샤워헤드의 세라믹 표면을 세정하는 단계; (b) 세라믹 표면들 상에 할라이드-프리 ALD (atomic layer deposition) 옥사이드 언더코팅을 증착하는 단계; (c) 할라이드-프리 ALD 옥사이드 언더코팅 상에 ALD 실리콘 나이트라이드의 프리-코팅을 증착하는 단계; 및 (d) 반도체 기판 각각을 반응 챔버 내로 순차적으로 이송하고 페데스탈의 세라믹 표면 상에 지지된 반도체 기판 상에 ALD 실리콘 나이트라이드 막을 증착함으로써 반도체 기판들의 배치를 프로세싱하는 단계를 포함한다.
언더코팅 형성시, 방법은 (i) 증기 상 (vapor phase) 의 할로겐-프리 실리콘-함유 제 1 반응물질의 플로우를 반응 챔버 내로 도입하고 제 1 반응물질로 하여금 반응 챔버의 세라믹 표면들 상에 흡착하게 하는 동작; (ii) 제 1 반응물질이 반응 챔버의 세라믹 표면들 상에 흡착되는 동안 증기 상의 산소-함유 제 2 반응물질의 플로우를 반응 챔버 내로 도입하는 동작; 및 (iii) 언더코팅을 형성하도록 반응 챔버의 세라믹 표면들 상에서 제 1 반응물질과 제 2 반응물질 사이의 반응을 구동하기 위해 제 1 반응물질 및 제 2 반응물질 중 적어도 하나의 플로우가 중단될 때 반응 챔버를 플라즈마에 노출하는 동작으로서, 언더코팅은 반응 챔버의 세라믹 표면들을 컨포멀하게 (conformally) 코팅하는, 반응 챔버를 플라즈마에 노출하는 동작에 의해 수행되고; 동작 (i) 내지 동작 (iii) 은 반응 챔버 내에 반도체 기판이 존재하지 않을 때 발생하고, 그리고 동작 (i) 내지 동작 (iii) 은 언더코팅이 적어도 약 500 Å 두께가 될 때까지 반복된다. 할로실란-함유 반응물질인 제 1 반응물질은 클로로실란, 요오드실란 및 브로모실란으로부터 선택된 적어도 하나의 화학적 화합물을 포함한다.
또 다른 실시예에 따라, 단일 반도체 기판이 페데스탈의 세라믹 표면 상에서 지지되고 프로세스 가스가 샤워헤드의 세라믹 표면의 가스 유출구들을 통해 반도체 기판 위의 반응 존 내로 도입되는, PEALD (plasma enhanced atomic layer deposition) 반응 챔버의 마이크로-볼륨에서 프로세싱된 반도체 기판들 상에 막들이 증착된다. 방법은 (a) 페데스탈의 세라믹 표면 및 샤워헤드의 세라믹 표면 상에 알루미늄-풍부 부산물들이 형성되도록 불소 플라즈마를 사용하여 페데스탈의 세라믹 표면 및 샤워헤드의 세라믹 표면을 세정하는 단계; (b) 알루미늄-풍부 부산물들을 커버하기 위해 세라믹 표면들 상에 컨포멀한 할라이드-프리 ALD (atomic layer deposition) 옥사이드 언더코팅을 증착하는 단계; (c) 할라이드-프리 ALD 옥사이드 언더코팅 상에 프리코팅을 증착하는 단계; 및 (d) 반도체 기판 각각을 반응 챔버 내로 이송하고 페데스탈의 세라믹 표면 상에 지지된 반도체 기판 상에 막을 증착함으로써 반도체 기판들의 배치를 프로세싱하는 단계를 포함한다.
컨포멀한 할라이드-프리 ALD 옥사이드 언더코팅은 (i) 증기 상의 할로겐-프리 실리콘-함유 제 1 반응물질의 플로우를 반응 챔버 내로 도입하고 제 1 반응물질로 하여금 반응 챔버의 내부 표면들 상에 흡착하게 하는 동작; (ii) 제 1 반응물질이 반응 챔버의 내부 표면들 상에 흡착되는 동안 증기 상의 산소-함유 제 2 반응물질의 플로우를 반응 챔버 내로 도입하는 동작; 및 (iii) 언더코팅을 형성하도록 반응 챔버의 내부 표면들 상에서 제 1 반응물질과 제 2 반응물질 사이의 반응을 구동하기 위해 제 1 반응물질 및 제 2 반응물질 중 적어도 하나의 플로우가 중단될 때 반응 챔버를 플라즈마에 노출하는 동작으로서, 언더코팅은 반응 챔버의 내부 표면들을 컨포멀하게 코팅하는, 반응 챔버를 플라즈마에 노출하는 동작에 의해 형성될 수 있고; 동작 (i) 내지 동작 (iii) 은 반응 챔버 내에 기판이 존재하지 않을 때 발생하고, 그리고 동작 (i) 내지 동작 (iii) 은 언더코팅이 적어도 약 500 Å 두께가 될 때까지 반복된다. 바람직하게, 샤워헤드의 세라믹 표면 및 페데스탈의 세라믹 표면은 알루미늄 나이트라이드이고, 단계 (a) 는 400 ℃ 이하의 온도의 페데스탈 및 샤워헤드를 사용하여 수행되고, 그리고 단계 (c) 는 400 ℃ 이상의 온도의 페데스탈 및 샤워헤드를 사용하여 수행된다. 이에 더하여, 언더코팅은 적어도 500 Å의 두께로 증착될 수 있고, 단계 (c) 는 약 475 ℃ 내지 약 635 ℃의 온도의 페데스탈 및 샤워헤드를 사용하여 수행될 수 있고, 그리고 단계 (a) 는 300 ℃ 이하의 온도의 페데스탈 및 샤워헤드를 사용하여 수행될 수 있다. 바람직하게, 단계 (a) 는 리모트 유도 결합 플라즈마 생성기를 사용하여 마이크로-볼륨으로부터 업스트림에 플라즈마 상태로 에너자이징된 NF3를 사용하여 또는 NF3를 마이크로-볼륨 내로 흘리고 샤워헤드에 임베딩된 RF 전극으로 RF 전력을 인가함으로써 마이크로-볼륨 내에서 NF3를 플라즈마 상태로 에너자이징함으로써 수행된다.
도 1은 본 명세서에 개시된 실시예들에 따른, 화학적 증착 장치의 개요를 도시하는 개략도를 예시한다.
도 2는 박막들의 생성 동안 반응 종들 간 표면 반응들 및/또는 증착을 향상시키기 위해 플라즈마가 활용될 수 있는, 본 명세서에 개시된 실시예들을 구현하기 위해 구성된 다양한 장치 컴포넌트들을 도시하는 블록도를 예시한다.
도 3은 본 명세서에 개시된 실시예들에 따라 구성된 페데스탈 모듈 및 샤워헤드 모듈의 단면을 예시한다.
도 4는 본 명세서에 개시된 실시예에 따라 구성된 샤워헤드 모듈의 단면을 예시한다.
도 5는 8 개의 챔버 조건들에 대한, 표면 오염 대 ALD SiN 축적물의 그래프이다.
FEOL (front-end-of-line) 막들은, 이 막들이 디바이스의 활성 영역에 매우 근접하게 증착되기 때문에 엄격한 막-내 금속들 요건들을 갖는다. 통상적인 FEOL 막-내 금속들 사양들은 알루미늄 (Al) 을 포함하는 대부분의 금속들에 대해, 1x1010 atoms/㎠ 보다 작다. 고-쓰루풋, 고-컨포멀성 ALD (atomic layer deposition) 실리콘 나이트라이드 막들에 대해, 할라이드-기반 실리콘 전구체들만이 대부분의 애플리케이션들을 위해 제작가능한 막들을 제조하기 위해 요구되는 반응성을 갖는다.
일반적으로 할라이드-기반 화학물질 (chemistry), 보다 구체적으로 염소-기반 (Cl-based) 실리콘 전구체들이 Al 챔버 부품을 침식하여 (attack), 막 내로 통합될 수 있는, 150 ℃보다 높은 온도의 AlCl3와 같은 휘발성 부산물들을 형성한다. 이러한 이유로, 할라이드 화학물질에 노출될 반도체 기판 (웨이퍼) 근방의 챔버 컴포넌트들은 Al 부식을 방지하기 위해 알루미늄 나이트라이드 (AlN) 와 같은 세라믹 재료로 이루어진다. 수용될 때의 상태인 AlN 세라믹이 할라이드-기반 화학물질들에 대해 불활성이지만, NF3-기반 챔버 세정에 노출된 AlN은 챔버 세정 동안 표면 상에 생성된 Al-함유 화합물들을 갖고, 이들은 후속하여 할라이드-기반 화학물질에 의해 침식되어, 반도체 기판들 상에 증착된 막 내에 통합되는 휘발성 Al 화합물들을 생성한다.
Cl-기반 ALD 나이트라이드 막 형성을 위해, 세정 후 (post-clean) 반응성 표면 화합물들은 결국 시간 소모적인 ALD SiN 프리-코팅에 의해 커버될 수 있고, 이는 결국 막-내 (in-film) Al 농도를 명시된 한계 이하로 하강시킨다. 유감스럽게도, 요구되는 많은 ALD SiN 프리-코팅은 별로 반복가능하지 않고, 막-내 금속들이 명시된 한계들을 충족하는 지점에 도달하기 위해 48 시간 이상의 증착 시간이 걸릴 수 있고, 생산물 웨이퍼들이 최종적으로 프로세싱될 수 있다. 이러한 접근 방식 (approach) 은 너무 길고 생산 환경에서 반복가능하지 않고, 축적 한계에 도달하기 전에 금속-프리 프로세싱될 수 있는 웨이퍼들의 수를 엄격하게 제한하고 또 다른 챔버 세정이 실행되어야 한다.
본 명세서에 개시된 바와 같이, Lam Research Corporation의 Striker NitrideTM 가상 시일링 챔버 설계에 사용될 수 있는 방법이 개발되었고, 이는 과도한 ALD SiN 프리-코팅들을 필요로 하지 않고,FEOL 금속들 요건들을 반복적으로 충족하는, 고-쓰루풋 ALD SiN 막들의 증착을 인에이블한다. 475 ℃ 내지 635 ℃ 온도의 통상적인 페데스탈을 사용하는, 고온 할라이드-기반 ALD SiN 프로세스들을 위한 방법은 페데스탈 온도를 400 ℃보다 낮게 강하시키고, NF3-기반 세정을 실행하고, 온도를 다시 프로세스 온도까지 램핑하고 (ramp), 그리고 할라이드-프리, 고속 ALD 옥사이드 언더코팅으로 챔버 내부 표면들을 코팅하는 것이다. 400 ℃ 이하의 온도들에서 챔버 세정을 실행하는 것은 생성된 Al-함유 부산물들의 양이 대략 2,000 Å 이하, 바람직하게 약 1,000 Å 이하의 적정한 양의 할라이드-프리 ALD 옥사이드 언더코팅으로 커버될 수 있는 레벨로 유지 (hold) 되도록 충분한 AlN을 사용하여 NF3 반응의 동역학을 감속시킨다. ALD 옥사이드 프로세스는 고속이기 때문에, 챔버 세정들이 생산시 매 3 내지 4일에만 실행되기 때문에, SiN ALD 동안 견딜 수 있는 시간량이기 때문에, 1,000 Å의 언더코팅은 1 내지 1.5 시간 동안 증착될 수 있다. 할라이드-프리 ALD 옥사이드 언더코팅의 선택은 PECVD 옥사이드 및 할라이드-기반 ALD 옥사이드와 같은, 대안적인 언더코팅들의 선택을 실험적으로 테스팅한 결과이다. 테스트들의 결과들은 매우 컨포멀하고, 고속이고, 할라이드-프리인 ALD 옥사이드 막이 제작가능한 방식으로 매우 낮은 세정-후 금속들의 요건들을 성공적으로 충족한다는 것을 보여준다.
Striker NitrideTM 가상 시일링 설계는 세정 단계, 언더코팅 단계, 프리-코팅 단계 및 실리콘 나이트라이드 증착 단계 동안 생성된 가스들 및 부산물들을 담는 (contain) 작은 체적의 프로세싱 영역 (마이크로-볼륨) 을 제공한다. 증착 및 세정 화학물질 반응물질들은 ALD 옥사이드 언더코팅으로 완전히 코팅될 수 있는, 웨이퍼 캐비티 (cavity) 로 한정된다 (confine). 이러한 봉쇄 (containment) 는 각각의 화학물질들이 혼합되지 않는다는 것을 보장하도록 도즈 (dose) 와 변환 사이 반쪽 반응 (half-reaction) 사이클들로 압력 사이클링이 사용되기 때문에 일시적인 ALD에 유용하다. 이 압력 사이클링은 복수의 금속들이 SiN 막 내로 통합되는 것을 억제하기 위해 반응성 화학물질이 포함될 것을 요구하는 복수의 AlCl3 수송 모드들을 도입한다. 이 방법은 세정-후 금속들 테스팅이 Al-함유 부산물들이 250 ℃ 이하의 세정 온도들에서 여전히 생성된다는 것을 보여주기 때문에, 400 ℃ 미만의 온도로 증착되는 할라이드-기반 ALD SiN 프로세스들에 또한 유용하다. 언더코팅 테스팅이 PECVD 옥사이드 언더코팅들은 잠재적인 금속들의 소스들을 커버하는 측면에서 조악하다는 것을 명확하게 보여주기 때문에, 비-할라이드 ALD 옥사이드 언더코팅이 PECVD 리액터들에서 금속들을 억제하는데 유용하다는 것을 또한 입증할 수 있다.
본 발명에 개시된 방법은 일반적으로 빈번한, 주기적인 NF3-기반 세정들을 필요로 하는 일시적인 ALD 단일-웨이퍼 시스템들을 위해 필요한 해법 (solution) 을 제공한다. 일시적인 리액터들은 웨이퍼들은 정지되지만, 반쪽 반응 가스들이 시간적으로 앞뒤로 토글링 (toggle) 되기 때문에 이렇게 명명된다. ALD SiN과 같은, 상대적으로 저속의 동역학을 갖는 프로세스들에 대해, 가스들을 토글링하기 위한 시간은 도즈 시간 및 변환 반쪽 반응 시간에 대해 상대으로 짧다. 공간적 리액터에서, 가스들은 항상 온 (on) 되지만, 웨이퍼들은 캐러셀 (carousel) 상의 전용, 분리된 볼륨들을 통해 이동한다. 웨이퍼들이 이동할 수 있는 속도는 반응 동역학에 의해 결정된다. 반쪽 반응 각각이 전용 챔버 볼륨으로 한정되기 때문에, 공간적 ALD 미니-배치 시스템들이 NF3-기반 세정들을 방지할 수 있다. 이는 분리된 챔버의 벽들 상에서 막 형성을 방지하고 빈번한 NF3 챔버 세정들에 대한 필요성을 배제한다. 노 (furnace) ALD 시스템들은 빈번한 NF3-기반 챔버 세정들을 실행할 필요가 없기 때문에 금속들의 소스들을 제거하기 위해 석영 컴포넌트들을 사용할 수 있다. 이들의 등온 설계는 시스템 퍼포먼스 유지보수 처리가 필요할 때까지, 보통 월간 (months apart) 으로, 이 시스템들로 하여금 벽들 상에 증착을 빌드 업 (build up) 하게 한다. 노 ALD 및 공간적 미니-배치 ALD 시스템들이 NF3 세정-후 금속들의 오염을 방지할 수 있지만, 이들 리액터들은 일시적인 단일-웨이퍼 ALD 리액터들이 제공할 수 있는 이점들, 예컨대 선대칭 (axi-symmetric) 두께 프로파일들, 보다 적은 화학적 소모, SiN과 같이 동역학적으로 저속의 프로세스들에 대한 보다 높은 쓰루풋, 웨이퍼에 걸쳐 균일한 화학적 플럭스로 인해 고 종횡비 피처들에 대한 균일한 중심-대-에지 측벽 두께, 보다 낮은 열 예산 (budget), 및 가변 증착 온도를 통해 인에이블된 등급화된 막 성능 (capability) 을 이용할 수 없다.
Striker NitrideTM 시스템은 일시적인, 단일-웨이퍼 PEALD (plasma enhanced atomic layer deposition) 툴에서 고속, 컨포멀한 AlD SiN 막들을 증착하기 위해 할라이드-기반 화학물질의 사용을 인에이블한다. 일시적인 ALD 리액터를 사용하여, 벽들 상에 막 형성이 있고, 웨이퍼 캐비티는 NF3-기반 화학물질을 사용하여 상기 언급된 기술들보다 빈번하게 세정되어야 한다. 일시적인 ALD의 많은 이점들을 이용하기 위해, 높은 세정-후 금속 이슈는 전체 프로세스가 제작가능하지 않은 정도까지 보유 비용을 상승시키고 쓰루풋을 하강시키지 않는 방식으로 극복되어야 한다. 이들 문제들은 최소의 가치가 부가되지 않은 오버헤드 시간으로 일시적인 리액터 내에서 금속-프리 ALD SiN 웨이퍼 프로세싱을 인에이블하도록 비-할라이드 ALD 옥사이드 언더코팅과 보다 저온의 세정을 결합함으로써 극복된다. 세정 온도를 하강시키는 것이 NF3와 AlN 사이의 부식 반응들을 감속시키기 위해 바람직하다. 할라이드 화학물질이 이들 Al-함유 부식 부산물들과 반응할 것이기 때문에, 비-할라이드 ALD 옥사이드 언더코팅이 할라이드-기반 증착 화학물질에 노출될 모든 표면들을 커버하면서, AlXy 형성을 억제하도록 사용될 수 있다. ALD 옥사이드는 100 % 컨포멀하고, ―대면 플레이트 (faceplate) 및 리프트-핀 홀들을 포함하여― 웨이퍼 캐비티의 모든 표면 변칙들 (irregularities) 을 커버할 것이기 때문에 유리하다. 이에 더하여, ALD 옥사이드는 짧은 사이클 시간들 및 고 증착 레이트들을 인에이블하는 고속의 동역학을 갖고, 이는 웨이퍼 캐비티를 코팅하기 위해 필요한 언더코팅 시간을 상당히 감소시킨다. Striker NitrideTM 가상 시일링 설계는 비-할라이드 ALD 옥사이드 언더코팅으로 완전히 코팅될 수 있는 영역으로의 증착 화학물질을 압력 사이클링 동안 담아 편리하다.
본 명세서에 기술된 방법은 비금속 (세라믹) 챔버-인-챔버 설계를 갖는 증착 시스템들에 매우 유리하다. 이러한 시스템들을 인시츄 (in situ), 100 % 컨포멀한 언더코팅과 함께 제공함으로써, 할라이드-기반 SiN 화학물질을 사용하여 기판들을 프로세싱하기 전에 인시츄 증착/세정 사이클들을 감소된-온도에서 반복 수행하는 것이 가능하다. 단일 웨이퍼 일시적인 툴에 대해, ALD SiN 금속들의 억제를 위해 화학적 봉쇄 하드웨어와 고속의, 컨포멀한 인시츄 비-할라이드 ALD 옥사이드 언더코팅의 조합은 다른 대안들과 비교하여 낮은 보유 비용 및 재료 융통성을 제안한다. 48 내지 72 시간이 걸리는, 시간 소모적인 ALD SiN 프리-코팅들은 화학물질에 따라 툴 이용도 (availability) 를 엄격하게 제한할 수 있다. PECVD 옥사이드 언더코팅들은 낮은 컨포멀성 (conformality) 을 갖고, 세정 화학물질에 의해 침식되는 (attack) 매우 불규칙하고, 거친 재료들의 표면들에 커버리지를 제공할 수 없다. 보호 라이너들이 할라이드 침식으로부터 챔버 컴포넌트들을 보호하도록 사용될 수 있지만, 열적 사이클링을 견딜 수 있고, 할라이드 증착 화학물질, NF3-기반 세정 및 (탄소-도핑된 막들을 위해 필요한) O2-기반 세정에 내성이 있는 라이너들/코팅들을 찾는 것은 어려울 뿐만 아니라 비용이 많이 든다. 따라서, 본 명세서에 기술된 방법은 부식성 부산물들을 없애기 위해 빈번한 챔버 개방들 및 대체가능한 라이너들에 대한 필요성을 제거함으로써 가동시간 및 보유 비용을 더 개선한다.
본 명세서에 기술된 방법은 다양한 타입들의 샤워헤드 배열들을 갖는 증착 챔버에서 수행될 수 있다. 일반적으로, 2 개의 주요 타입들, 샹들리에 (chandelier) 타입 증착 샤워헤드 및 플러시 마운트 (flush mount) 증착 샤워헤드가 있다. 샹들리에 샤워헤드들은 일 단부 상에서 챔버의 상단부 그리고 다른 단부 상에서 대면 플레이트에 부착되고, 샹들리에를 닮은 스템을 갖는다. 스템의 일부는 가스 라인들 및 RF 전력의 접속을 인에이블하도록 챔버 상단부에서 돌출할 수도 있다. 플러시 마운트 샤워헤드들은 챔버의 상단부에 집적되고 스템을 갖지 않는다. 바람직한 실시예에서, 플러시 마운트 타입 샤워헤드는, 전체 개시들이 본 명세서에 참조로서 인용된, 공동으로-양도된 미국 특허출원 공보 제 2015/0004798 호 및 제 2015/0011096 호에 개시된 바와 같이 챔버 체적을 감소시킬 목적을 위해 사용될 수 있다.
도 1은 본 명세서에 개시된 실시예들에 따른, 화학적 증착 장치 (201) 의 개요를 도시하는 개략도이다. 기판 (13) 은 또한 수직으로 이동될 수도 있는, 샤워헤드 모듈 (211) 에 상대적으로 상승 또는 하강될 수 있는 이동식 페데스탈 모듈 (223) 의 상단부 상에 놓인다. 반응물질 재료 가스들이 가스 라인 (203) 을 통해 챔버의 프로세싱 존 (318) 내로 도입된다. 사용된 반응물질 가스들의 수에 따라, 하나 이상의 가스 라인들을 갖도록 변경될 수도 있다는 것을 주의한다. 챔버는 진공 소스 (209) 에 연결된 진공 라인들 (235) 을 통해 배기된다. 진공 소스는 진공 펌프일 수도 있다. 페데스탈을 상승시킴으로써, 마이크로-볼륨이 샤워헤드 모듈 (211) 밑에 위치된다. 마이크로-볼륨을 사용하여, 내부에 페데스탈 모듈 및 샤워헤드 모듈이 위치되는 주변 챔버의 알루미늄 챔버 표면들에 대한 부식성 프로세스 가스들의 노출을 제한하는 것이 가능하다. 300 ㎜ 웨이퍼들을 프로세싱하기 위해, 마이크로-볼륨은 0.1 리터 내지 2 리터의 범위일 수 있다. 기판 프로세싱 동안, 페데스탈 모듈은 마이크로-볼륨에 기판을 노출하도록 그리고/또는 마이크로-볼륨의 체적을 가변시키도록 상승되거나 하강될 수 있다. 예를 들어, 기판 이송 페이즈 (phase) 에서, 페데스탈 모듈은 마이크로-볼륨이 기판 뿐만 아니라 페데스탈 모듈의 일부를 완전히 감싸도록 마이크로-볼륨 내에 기판을 포지셔닝하도록 상승될 수 있다. 그러나, 페데스탈 모듈을 이동시키는 대신, 샤워헤드 모듈의 위치는 마이크로볼륨의 체적을 가변시키기 위해 페데스탈 모듈에 상대적으로 조정될 수 있다. 또한, 페데스탈 모듈 및/또는 샤워헤드 모듈의 수직 위치는 임의의 적합한 메커니즘에 의해 가변될 수도 있고 조정들이 하나 이상의 적합한 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다. 장치 (201) 는 복수의 마이크로-볼륨들을 갖는 멀티-스테이션 장치일 수 있다. 예를 들어, 4 스테이션 장치에서, 외측 챔버는 4 개의 페데스탈들이 4 개의 마이크로-볼륨들을 제공하기 위해 4 개의 샤워헤드들에 매우 근접하게 상승될 수 있는, 180 L의 내부 체적을 가질 수 있다.
도 2는 증착을 향상시키기 위해 플라즈마가 활용되는, 본 명세서에 개시된 실시예들을 구현하기 위해 구성된 다양한 장치 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 프로세싱 존 (318) 은 페데스탈 모듈 (223) 과 함께 작동하는 샤워헤드 모듈 (211) 을 포함하는 용량 결합 플라즈마 시스템에 의해 생성된 플라즈마를 담도록 기능하고, 페데스탈 모듈 (223) 은 가열된다. 적어도 하나의 HF (high-frequency) RF 생성기 (204) 와 같은 RF 소스(들)가 매칭 네트워크 (206) 에 연결되고, 그리고 선택가능한 LF (low-frequency) RF 생성기 (202) 가 샤워헤드 모듈 (211) 에 연결된다. 대안적인 실시예에서, HF 생성기 (204) 는 페데스탈 모듈 (223) 에 연결될 수 있다. 매칭 네트워크 (206) 에 의해 공급된 전력 및 주파수는 프로세스 가스/증기로부터 플라즈마를 생성하기 충분하다. 일 실시예에서 HF 생성기 및 LF 생성기 모두가 사용되고, 대안적인 실시예에서, HF 생성기만이 사용된다. 통상적인 프로세스에서, HF 생성기는 일반적으로 약 2 내지 100 ㎒의 주파수들에서 동작하고; 바람직한 실시예에서 13.56 ㎒ 또는 27 ㎒에서 동작한다. LF 생성기는 일반적으로 약 50 ㎑ 내지 2 ㎒; 바람직한 실시예에서 약 350 내지 600 ㎑에서 동작한다. 이 프로세스 파라미터들은 챔버 체적, 기판 사이즈 및 다른 인자들에 기초하여 스케일링될 수도 있다. 유사하게, 프로세스 가스의 플로우 레이트들 (flow rates) 은 진공 챔버 또는 프로세싱 존의 프리 (free) 볼륨에 종속될 수도 있다.
챔버 내에서, 페데스탈 모듈 (223) 은 상부에 박막들과 같은 재료들이 증착될 수도 있는 기판 (13) 을 지지한다. 페데스탈 모듈 (223) 은 증착 반응 및/또는 플라즈마 처리 반응 동안, 그리고 반응들 사이에 기판을 홀딩 (hold) 하고 이송하기 위한 포크 (fork) 또는 리프트 핀들을 포함할 수 있다. 일 실시예에서, 기판 (13) 은 페데스탈 모듈 (223) 의 표면 상에 놓이도록 구성될 수도 있지만, 대안적인 실시예에서, 페데스탈 모듈 (223) 은 페데스탈 모듈 (223) 의 표면 상에 기판 (13) 을 홀딩하기 위해, 정전 척, 기계 척, 또는 진공 척을 포함할 수도 있다. 페데스탈 모듈 (223) 은 기판 (13) 을 목표된 온도로 가열하기 위해 히터 블록 (220) 과 커플링될 수 있다. 일반적으로, 기판 (13) 은 증착될 재료에 따라 약 25 ℃ 내지 500 ℃ 이상의 온도로 유지된다.
특정한 실시예들에서, 증착, 증착 후 처리들, 및/또는 다른 프로세스 동작들 동안 프로세스 조건들을 제어하기 위해 시스템 제어기 (228) 가 채용된다. 제어기 (228) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부들 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (228) 는 장치의 모든 액티비티들을 제어하도록 사용될 수 있다. 시스템 제어기 (228) 는 프로세싱 동작들의 타이밍, LF 생성기 (202) 및 HF 생성기 (204) 의 동작들의 주파수 및 전력, 전구체들 및 불활성 가스들 및 이들의 상대적인 혼합물의 플로우 레이트들 및 온도들, 히터 블록 (220) 및 샤워헤드 모듈 (211) 의 온도, 챔버의 압력 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에 채용될 수도 있다.
통상적으로 장치는 제어기 (228) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
비일시적인 컴퓨터 머신-판독가능 매체가 장치의 제어를 위한 프로그램 인스트럭션들을 포함할 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
제어기 파라미터들은 예를 들어, 프로세싱 단계들의 타이밍, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들, 웨이퍼의 온도, 챔버의 압력 및 특정한 프로세스의 다른 파라미터들과 같은 프로세스 조건들에 관한 것이다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 접속부들 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 장치의 아날로그 출력 접속부들 및 디지털 출력 접속부들에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 프로세싱 단계들의 타이밍 코드, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들 코드, 및 챔버의 압력 코드를 포함한다.
도 3은 도 1 및 도 2의 페데스탈 모듈 (223) 및 샤워헤드 모듈 (211) 을 포함하는 화학적 분리 챔버 (319) 의 단면을 보다 상세히 예시하고, 페데스탈 모듈 (223) 과 샤워헤드 모듈 (211) 은 내부에서 반도체 기판이 프로세싱되는 캐비티 (318) 를 형성한다. 캐비티 (318) 는 샤워헤드 모듈 (211) 과 페데스탈 모듈 (223) 사이에 형성된 마이크로-볼륨이고, 샤워헤드 모듈 (211) 의 세라믹 대면 플레이트 (301) 의 하부 표면이 캐비티 (318) 의 상부 벽과 측벽으르 형성하고, 페데스탈 모듈 (223) 의 상부 표면이 캐비티 (318) 의 하부 벽을 형성한다. 듀얼 챔버 시일링부들을 포함하는 증착 장치의 예시적인 실시예는 공동으로 양도된 미국 특허 제 7,737,035 호에서 알 수 있고, 전체가 참조로서 본 명세서에 인용된다.
페데스탈 모듈 (223) 은 하단 RF 전극 (317) 을 포함하고, 기판 (13) 이 페데스탈 모듈 (223) 상에 놓인다. 바람직하게, 하단 RF 전극 (317) 은 접지된다 (grounded). 프로세싱 동안 기판 (13) 의 상단부와 샤워헤드 모듈 (211) 의 하단 표면 사이의 거리는 바람직하게 약 5 내지 16 ㎜이다. 페데스탈 모듈 (223) 은 히터 (도 2 참조) 를 포함하고, 샤워헤드 모듈 (211) 의 대면 플레이트 (301) 는 프로세싱 동안 페데스탈 모듈 (223) 로부터 방출된 열로부터 그리고 프로세싱 동안 캐비티 (318) 내에서 플라즈마 스트라이킹 (strike) 에 의해 가열된다. 일부 실시예들에서, 챔버 내부 온도는 샤워헤드 모듈 (211) 및 페데스탈 모듈 (223) 의 가열 메커니즘을 통해 유지될 수도 있다. 바람직하게, 기판 (13) 은 등온 분위기에 위치된다. 등온 프로세싱 존이 목표된 온도로 캐비티 (318) 의 노출된 표면 각각을 유지함으로써 형성된다. 기판 (13) 에 걸쳐 원치 않은 온도 변화도 (gradient) 가 형성되지 않도록, 등온 프로세싱 존은 기판 (13) 으로 하여금 균일하게 가열되고 목표된 온도로 유지되게 한다. 등온 프로세싱 존을 형성하기 위해, 대면 플레이트 (301) 로부터 백킹 플레이트 (backing plate) (302) 로의 열 손실 및 대면 플레이트 (301) 로부터 분리 링 (303) 으로의 열 손실이 최소화된다. 일 실시예에서, 샤워헤드 모듈 (211) 은 약 250 ℃보다 높게 가열될 수 있고, 그리고/또는 페데스탈 모듈 (223) 은 약 250 ℃보다 높고 500 ℃까지 또는 약 500 ℃보다 높게 가열될 수 있다. 바람직한 실시예에서, 캐비티 (318) 의 노출된 표면 각각은 알루미늄 나이트라이드, 알루미늄 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드와 같은 세라믹 재료로부터 형성된다.
샤워헤드 모듈 (211) 은 대면 플레이트 (301), 백킹 플레이트 (302), 및 분리 링 (303) 을 포함하고, 분리 링은 대면 플레이트 (301) 및 백킹 플레이트 (302) 를 둘러싸고 백킹 플레이트 (302) 를 지지한다. 분리 링 (303) 은 바람직하게 알루미늄 옥사이드 (Al2O3) 또는 알루미늄 나이트라이드 (AlN) 와 같은 세라믹 재료로부터 형성되고 화학적 분리 챔버 (319) 의 접지된 챔버 벽 (322) 상에 지지될 수 있다.
백킹 플레이트 (302) 는 금속 재료로부터 형성된다. 예를 들어, 백킹 플레이트 (302) 는 Al 6061과 같은 알루미늄 합금 또는 스테인리스 스틸로부터 형성될 수 있고, 백킹 플레이트 (302) 는 세라믹 외측 코팅, 예컨대 알루미늄 옥사이드 외측 코팅, 이트륨 옥사이드 외측 코팅, 또는 의도된 애플리케이션에 따라 폴리머 코팅을 포함할 수 있다. 바람직한 실시예에서, 백킹 플레이트 (302) 는 RF 핫 (hot) 이고, 즉, RF 소스에 의해 전력 공급된다. 일 실시예에서, 백킹 플레이트 (302) 는 냉각된 백킹 플레이트일 수 있다. 대면 플레이트 (301) 는 바람직하게 세라믹 재료로부터 형성되고 바람직한 실시예들에서 알루미늄 옥사이드 (Al2O3), 또는 알루미늄 나이트라이드 (AlN) 로부터 형성될 수 있다. 대면 플레이트 (301) 는 내부에 임베딩된 RF 전극 (310) 을 포함할 수 있고, 임베딩된 RF 전극 (310) 은 바람직하게 메시 (mesh) 전극이다. 가스 플레넘 (308) 이 대면 플레이트 (301) 와 백킹 플레이트 (302) 사이에 형성된다. 바람직하게, 대면 플레이트 (301) 와 백킹 플레이트 (302) 사이에서 가스 플레넘 (308) 의 높이는 최소의 플레넘 체적을 제공하는 동안 플레넘 (308) 으로부터 캐비티 (318) 까지 우수한 가스 플로우를 가능하게 한다. 바람직하게, 플레넘 (308) 의 높이는 약 2 내지 6 ㎜이다. 적어도 하나의 RF 콘택트 (312) 가 백킹 플레이트 (302) 대면 플레이트 (301) 내에 임베딩된 RF 전극 (310) 을 전기적으로 접속시킨다. 일 실시예에서, RF 콘택트 (312) 는 적어도 하나의 벤딩부 (bend) 를 갖는 금속 스트립 (strip) 으로 이루어진 환형 RF 콘택트일 수 있고, RF 콘택트 (312) 는 대면 플레이트 (301) 와 백킹 플레이트 (302) 사이에서 가스 플레넘 (308) 의 외측 주변부 (outer perimeter) 를 형성한다. 바람직하게 RF 콘택트 (312) 는 대면 플레이트 (301) 와 백킹 플레이트 (302) 사이에 열 초크 (thermal choke) 를 형성한다. 임베딩된 RF 전극들을 갖는 세라믹 대면 플레이트들의 예들은 공동으로 양도된 미국 특허 제 9,441,296 호 및 공동으로 양도된 미국 특허출원 공보제 2016/0203952 호에서 알 수 있다.
도 4는 지지 엘리먼트 (309) 가 샤워헤드 모듈 (211) 의 대면 플레이트 (301) 를 샤워헤드 모듈의 백킹 플레이트 (302) 에 부착하는, 등온 프로세싱 존을 형성하는 샤워헤드 모듈 (211) 및 기판 지지부 (223) 의 예시적인 실시예를 예시한다. 바람직하게 등온 프로세싱 존에 노출되는 표면 각각은 목표된 온도로 유지되는 세라믹 표면이다. 샤워헤드 모듈 (211) 은 대면 플레이트 (301) 를 포함하고, 대면 플레이트의 하부 표면이 캐비티 (318) 의 상부 벽을 형성하고, 선택가능하게 캐비티 (318) 의 측벽이 등온 프로세싱 존, 백킹 플레이트 (302), 및 분리 링 (303) 을 형성하고, 분리 링 (303) 은 대면 플레이트 (301) 및 백킹 플레이트 (302) 를 둘러싼다. 백킹 플레이트 (302) 는 하나 이상의 가스 유입구들 (305) 및 하나 이상의 가스 유출구들을 포함할 수 있고, 대면 플레이트 (301) 는 바람직하게 프로세스 가스를 등온 프로세싱 존으로 전달하고, 불활성 가스를 등온 프로세싱 존으로 전달하고 또는 등온 프로세싱 존으로부터 프로세스 가스 및/또는 불활성 가스를 제거하기 위한 복수의 쓰루홀들 (304) 을 포함한다. 적어도 하나의 압축 시일링부가 백킹 플레이트와 대면 플레이트 사이에 위치될 수 있고, 압축 시일링부 각각은 적어도 하나의 가스 플레넘의 경계를 형성할 수 있다. 예를 들어, 2 개의 압축 시일링부들이 가스 플레넘들을 형성하도록 사용될 수 있고, 제 1 압축 시일링부는 대면 플레이트 (301) 와 백킹 플레이트 (302) 사이에 압축된 제 1 환형 레버 시일링부 (306a) 이고, 제 1 환형 레버 시일링부 (306a) 는 내측 가스 플레넘 (308a) 을 형성한다. 내측 가스 플레넘 (308a) 은, 백킹 플레이트 (302) 의 하나 이상의 가스 유입구들 (305) 및 대면 플레이트 (301) 의 복수의 쓰루홀들 (304) 과 유체로 연통할 수 있어, 프로세스 가스가 이들을 통해 등온 프로세싱 존을 규정하는 캐비티 (318) 내로 전달될 수 있다. 제 2 압축 시일링부는 제 1 환형 레버 시일링부 (306a) 를 둘러싸고 대면 플레이트 (301) 와 백킹 플레이트 (302) 사이에 압축된 제 2 환형 레버 시일링부 (306b) 일 수 있고, 제 2 환형 레버 시일링부 (306a) 는 내측 가스 플레넘 (308a) 을 둘러싸는 중간 플레넘 (308b) 을 형성한다. 중간 플레넘 (308b) 은 백킹 플레이트 (302) 의 하나 이상의 가스 유출구들 (315) 및 대면 플레이트 (301) 의 복수의 쓰루홀들 (304) 과 유체로 연통할 수 있어, 프로세스 가스가 진공 소스에 의해 캐비티 (318) 의 등온 프로세싱 존으로부터 제거될 수 있다. 일 실시예에서, 외측 가스 플레넘 (308c) 이 중간 플레넘 (308b) 을 둘러싼다. 바람직하게 외측 가스 플레넘 (308c) 은 캐비티 (318) 의 등온 프로세싱 존과 유체로 연통하는, 대면 플레이트 (301) 와 분리 링 (303) 사이의 갭으로 불활성 가스를 전달할 수 있고, 분리 링 (303) 은 외측 가스 플레넘 (308c) 의 외측 주변부를 형성한다.
대면 플레이트는 캐비티 (318) 로부터 가스들을 제거하기 위한 유출구들을 포함할 수 있다. 예를 들어, 웨이퍼 위로 완전히 연장하는 대면 플레이트의 중심 존은 프로세스 가스를 전달하고 등온 프로세싱 존으로부터 반응된 가스를 빼내기 (withdraw) 위해 동심 가스 유입구들 및 가스 유출구들을 포함할 수 있다. 동심 유입구들 및 유출구들의 적합한 배열은, 개시가 본 명세서에 참조로서 인용된, 공동으로 양도된 미국 특허 제 5,614,026 호에 개시된다.
세라믹 표면들로 둘러싸인 프로세싱 존
프로세싱 존은 바람직하게 샤워헤드 모듈 (211) 및 기판 지지부 (223) 가 등온 프로세싱 존 (마이크로-볼륨) 을 형성하고, 등온 프로세싱 존 내에서 등온 프로세싱 존에 노출되는 표면 각각이 목표된 온도로 유지되는 세라믹 표면인, 상기 기술된 바와 같이 세라믹 표면들에 의해 둘러싸인다. 일 실시예에서, 샤워헤드 모듈 (211) 은 대면 플레이트 (301) 을 포함하고, 대면 플레이트의 하부 표면이 캐비티 (318) 의 상부 벽을 형성하고, 선택가능하게 캐비티 (318) 의 측벽이 등온 프로세싱 존, 백킹 플레이트 (302), 및 분리 링 (303) 을 형성하고, 분리 링 (303) 은 대면 플레이트 (301) 및 백킹 플레이트 (302) 를 둘러싼다. 백킹 플레이트 (302) 는 하나 이상의 가스 유입구들 및 하나 이상의 가스 유출구들을 포함하고, 대면 플레이트 (301) 는 바람직하게 프로세스 가스를 등온 프로세싱 존으로 전달하고, 불활성 가스를 등온 프로세싱 존으로 전달하고, 또는 등온 프로세싱 존으로부터 프로세스 가스 및/또는 불활성 가스를 제거하기 위한 복수의 쓰루홀들 (304) 을 포함한다.
챔버 세정 프로세스
챔버 세정 프로세스는 개시가 본 명세서에 참조로서 인용된, 공동으로 양도된 미국 특허출원 공보 제 2014/0053867 호에 기술된 바와 같이 수행될 수 있다. 세정 방법은 하나 이상의 스테이지들을 포함할 수도 있다. 예를 들어, 증착 챔버 내로 세정 혼합물을 도입하는 단계, 증착 챔버의 내부 표면들을 세정 혼합물에 노출시키는 단계 및 잔류물을 세정 혼합물과 반응시키는 단계가 제 1 스테이지 동안 적어도 약 0.6 Torr 제 2 스테이지 동안 약 0.6 Torr 이하의 챔버 압력 에서 수행될 수도 있다. 제 1 스테이지 동안 플라즈마 에저지는 제 2 스테이지 동안 플라즈마 에너지보다 작을 수도 있다. 특정한 실시예들에서, 플라즈마 에너지는 제 1 스테이지 동안 약 1,000 W 내지 2,000 W로 제 2 스테이지 동안 약 2,000 W 내지 3,000 W로 전달된다. 세정 시약들 (reagents) 은 산소 함유 화합물 및 불소 함유 화합물을 포함하는 실시예들에서, 산소 함유 화합물은 제 1 스테이지 동안 불소 함유 화합물의 플로우 레이트보다 적어도 10배 크고 제 2 스테이지 동안 적어도 3 배 큰 플로우 레이트를 가질 수 있다. 불소 함유 화합물은 NF3일 수 있다.
ALD 언더코팅
언더코팅은 개시가 참조로서 본 명세서에 인용된, 공동으로-양도된 미국 특허출원 공보 제 2015/0147482 호에 기술된 바와 같이 증착될 수 있다. 언더코팅은 반응 챔버 내에서 기판들을 프로세싱하기 전에 반응 챔버의 내부 표면들 상에 형성된 막층으로 규정된다. 언더코팅은 재료가 기판들 상에 증착되는 정상적인 증착 프로세스 동안 발생하는 막 빌드업과 구별된다. 기판들 상의 증착 동안 발생하는 빌드업과 반대로, 언더코팅은 일반적으로 반응 챔버 내에 존재하는 어떠한 기판들도 갖지 않고 증착된다. 또한, 언더코팅은 세라믹 챔버 표면들 상 (예를 들어, 알루미늄 나이트라이드 또는 알루미늄 옥사이드 상) 에 바로 증착될 수도 있는 반면, 기판들 상의 증착 동안 증착된 막은 이 막이 이전에 형성된 언더코팅의 상단에 증착된다면, 통상적으로 이들 표면들과 콘택트하지 않는다. 기판은 반응 챔버로 삽입되고 제거될 수도 있는 재료의 단단한 조각이고, 이는 반응 챔버의 일부가 아니고, 상부에 막이 증착되고, 그리고 상부의 막 증착이 일반적으로 목표된다. 반도체 디바이스 제조의 맥락에서, (상부에 증착된 막(들)을 갖거나 갖지 않는) 반도체 웨이퍼는 통상적으로 기판이다. 많은 경우들에서, 기판들은 디스크-형상이고 예를 들어, 200, 300 또는 450 ㎜의 직경을 갖는다. 기판들은 통상적으로 반도체 디바이스들이 되도록 여러 차례의 프로세싱을 거친다. 그러나, 특정한 다른 기판들은 완전히 기능하는 디바이스가 되도록 의도되지 않는다. 이들 기판들은 더미 웨이퍼들로 지칭될 수도 있고, 이들은 증착 프로세스를 평가하기 위한 테스트 수단들로서, 또는 예를 들어, 반응 챔버의 균형을 이루기 위한 희생적 기판들로서 사용될 수도 있다. 언더코팅을 증착할 때, 기판-프리 챔버는 언더코팅으로 하여금 세라믹 챔버 표면들을 균일하게 코팅하게 한다.
언더코팅은 또한, 예를 들어 증착 프로세스가 어떠한 기판들도 존재하지 않고 상대적으로 적은 수의 사이클들 동안 수행되는, 특정한 테스트 사이클들 동안 챔버 표면들 상에 증착될 수도 있는 층과 구별된다. 언더코팅 형성 프로세스는 목표된 최소 두께의 막을 형성하기 위해 여러 번 반복된다는 것이 일 차이이다. 이 최소 두께는 언더코팅이 (예를 들어, 플레이킹 (flaking) 및 입자 형성을 최소화하고, 균일도를 최대화하는) 목표된 바와 같이 기능할 수 있다는 것을 보장하는데 중요하다.
통상적인 프로세싱 스킴 (scheme) 에서, 반응 챔버는 동작의 시작시 "세정"된다, 즉, 챔버 표면들 상에 존재하는 언더코팅 또는 다른 비-영구적인 증착된 막이 없다. 언더코팅 증착 프로세스 동안, 실란과 같은 할라이드-프리 실리콘 전구체 및 아산화질소 (nitrous oxide) 와 같은 질소 전구체가 반응 챔버 내로 동시에 흐를 수 있다. 플라즈마가 반응 챔버 내에서 점화되어 (ignite), ALD 실리콘 옥사이드를 형성하기 위해 실란과 산소 사이에서 가스-상 반응을 구동한다. 일부 경우들에서, 플라즈마는 RF 플라즈마 생성기에 의해 생성되고, 생성기를 구동하도록 사용된 RF 전력은 대략 약 1000 내지 3000 W일 수 있다 (4 개의 스테이션들 사이에 분배되고, 300 ㎜ 직경 기판들 상에 증착함). 반응 챔버 표면들은 언더코팅 막으로 코팅되게 된다. 바람직하게, 마이크로-볼륨 세라믹 표면들만이 언더코팅, 즉, 마이크로-볼륨을 감싸는 페데스탈 및 샤워헤드 표면들로 코팅된다. 반응 챔버는 모든 원치 않은 반응물질들을 제거하기 위해 복수 회 퍼지/플러싱될 수 있다. 퍼지는 챔버로부터 제거될 종을 함유하지 않는 가스를 흘리는 것을 포함할 수도 있다. 대안적으로 또는 부가적으로, 퍼지는 반응 챔버를 배기하는 것을 포함할 수도 있다.
프리-코팅
기판들 상에 막들을 증착하기 위해 사용된 반응물질들이 임의의 기판들이 반응 챔버 내에 제공되기 전에 챔버 표면들을 프리코팅하도록 반응 챔버 내로 흐를 수 있다. 이 프리코팅 도움들로 가스 전달 하드웨어 (예를 들어, 액체 화학물질 주입기들, 가스 전달 라인들, 등) 및 장치의 다른 부분들을 증착 동안 사용된 반응물질들에 노출함으로써 이들을 준비시킨다. 프리코팅은 할라이드-프리 또는 할라이드 함유 반응물질들을 사용하여 증착될 수 있다. 예를 들어, 반도체 기판들 상에 실리콘 나이트라이드를 증착할 때, 프리코팅은 동일한 반응물질들을 사용하여 증착될 수 있다. 할라이드 함유 반응물질들은 ALD 실리콘 나이트라이드 막들이 할라이드-프리 반응물질들보다 높은 레이트로 증착될 수 있기 때문에, ALD 실리콘 나이트라이드 막들을 증착하는데 바람직하다.
반도체 기판들 상의 실리콘 나이트라이드 ALD
일련의 기판들이 언더코팅 위에 프리코팅을 증착한 후 반응 챔버 내에서 순차적으로 프로세싱될 수 있다. 막들이 기판들 상에 증착될 때, 막은 또한 반응 챔버의 내부 표면들 상에 증착된다. 이 막은 많은 기판들을 프로세싱하는 동안 빌드업되고, 결국 박리 (peel) 또는 플레이크되어 떨어진다. 이어서, 기판들이 프로세싱된 후, 반응 챔버는 증착된 막 및 언더코팅을 제거하기 위해 인시츄 세정프로세스를 겪는다. 이 세정 프로세스는 통상적으로 반응 챔버 내에 기판들이 존재하지 않을 때까지 시도된다. 바람직하게, 챔버 세정 프로세스는 챔버로부터 퍼지될 수도 있는 휘발성 생성물을 형성하도록 증착된 막과 반응하는, 가스 상 종, 예를 들어, 불소-함유 화합물을 도입하는 것을 수반할 수도 있다. 이어서 프로세싱 스킴이 새롭게 세정된 챔버에 대해 반복될 수도 있다.
실리콘-함유 전구체들
개시된 실시예들은 기판들 상에 실리콘 나이트라이드 막들을 증착하기 전에 할라이드-프리 실리콘 옥사이드 ALD 언더코팅을 사용한다. 실리콘 옥사이드 ALD 언더코팅은 할로겐-프리 반응물질들을 사용하여 증착된다. 임의의 적절한 할라이드-프리 실리콘-함유 반응물질 및 산화제가 실리콘 옥사이드 언더코팅의 증착을 위해 사용될 수도 있다. 실리콘-함유 전구체는 예를 들어, 실란 또는 아미노실란을 포함할 수도 있다. 실란은 수소기 및/또는 탄소기를 함유하지만, 할로겐은 함유하지 않는다. 실란류의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 유기실란류 예컨대 메틸실란, 에틸실란, 아이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 아릴실란, sec-부틸실란, 덱실실란, 이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소류, 산소류 및 탄소류를 함유할 수도 있다. 아미노실란류의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2SiNH2)2, HSi(NH2)3 및 Si(NH2)4) 뿐만 아니라 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, 비스(3차부틸아미노)실란 (bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸실릴카바메이트, SiH(CH3)--(N(CH3)2)2, SiHCl--(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 예는 트리실릴아민 (N(SiH3)3) 이다.
실리콘 나이트라이드 막
실리콘 나이트라이드 막은 실리콘 나이트라이드 막을 형성하도록 사용될 수도 있는 실리콘을 함유하는 화합물 및/또는 시약인 실리콘-함유 막 전구체를 사용하여 ALD를 통해 증착된다. 실리콘-함유 막 전구체는 바람직하게 요오드실란, 브로모실란, 클로로실란 또는 플루오로실란과 같은 할로실란이다. 예를 들어, 클로로실란은 모노클로로실란, 디클로로실란, 테트라클로로실란 또는 헥사클로로디실란일 수 있고, 요오드실란은 디요오드실란, 트리요오드실란 또는 테트라요오드실란일 수 있고, 브로모실란은 테트라브로모실란일 수 있다.
실리콘 나이트라이드 막은 개시가 본 명세서에 참조로서 인용된, 공동으로-양도된 미국 특허 제 8,592,328 호, 제 8,728,956 호, 제 9,070,555 호 및 제 9,214,333 호 및 미국 특허출원 공보 제 2016/0148800 호 및 제 2016/0148806 호에 기술된 바와 같이 증착될 수 있다. 예를 들어, 실리콘 나이트라이드 막은 실리콘-함유 반응물질과 질소-함유 반응물질 및/또는 질소-함유 반응물질 블렌드 (blend) 중 하나 이상의 반응에 의해 형성될 수도 있다. 예시적인 실리콘-함유 반응물질들은, 이로 제한되는 것은 아니지만, BTBAS (SiH2(NHC(CH3)3)2 또는 bis (tertiarybutylamino)silane), 디클로로실란 (SiH2Cl2), 및 클로로실란 (SiH3Cl) 을 포함한다. 예시적인 질소-함유 반응물질들은, 이로 제한되는 것은 아니지만, 암모니아, 질소 및 tert-부틸 아민 ((CH3)3CNH2 또는 t-butyl amine) 을 포함한다. 예시적인 질소-함유 반응물질 블렌드는, 이로 제한되는 것은 아니지만, 질소와 수소의 블렌드를 포함한다. 대안적으로, 실리콘 나이트라이드 막은 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 클로로아릴실란, 클로로메틸실란, 디클로로메틸실란, 클로로디메틸실란, 클로로에틸실란, t-부틸클로로실란, 디-t-부틸클로로실란, 클로로아이소프로필실란, 클로로-sec-부틸실란, t-부틸디메틸클로로실란, 덱실디메틸클로로실란, 등으로부터 선택된 클로로실란과 같은 할로실란을 사용하여 증착될 수 있다.
실리콘 나이트라이드는 바람직하게 ALD에 의해 증착되고, 보다 바람직하게 PEALD에 의해 증착되고, 실리콘-함유 전구체가 스테이션들 중 일 스테이션의 페데스탈 상에 지지된 반도체 기판의 노출된 표면 상에 흡착되고, 실리콘-함유 전구체는 이 스테이션으로부터 퍼지되고, 질소 플라즈마는 반도체 기판 상에 흡착된 실리콘-함유 전구체에 노출되고, 그리고 플라즈마는 이 스테이션으로부터 퍼지된다. 스테이션들은 모든 스테이션들에서 저압 분위기를 유지하는 진공 챔버 바디 내에 위치될 수 있다.
질소 플라즈마는 질소 플라즈마가 마이크로-볼륨 내부에서 질소 가스를 플라즈마 상태로 점화함으로써 기판 바로 위에 형성되는 경우 인시츄로 형성될 수 있고 또는 질소 플라즈마는 질소 가스가 스테이션의 업스트림의 리모트 플라즈마 생성기 내에서 점화되는 경우 리모트로 형성될 수 있다. 플라즈마 에너지는 질소 가스를 이온들 및 라디칼들로 활성화하고, 이들은 흡착된 Si-함유 전구체 층과 반응하여 실리콘-질소 결합들 및 실리콘 나이트라이드의 박막을 형성한다. 퍼지 단계들은 실리콘-함유 전구체 및 질소 가스들의 플로우를 중단하고 캐리어 가스를 마이크로-볼륨 내로 전달함으로써 수행될 수 있다. 캐리어 가스는 ALD 프로세스 내내 연속적으로 또는 퍼지 단계들 동안 간헐적으로 공급될 수 있다. ALD 프로세스의 증착 사이클 각각은 약 0.05 Å 내지 약 3 Å의 두께를 갖는 실리콘 나이트라이드 막을 증착할 수 있다. 예를 들어, 디실란을 사용하는 약 250 ℃에서의 증착은 사이클 당 약 0.5 Å를 증착할 수 있다.
일 예에서, 실리콘 나이트라이드는 사이클 각각에서 약 0.5 Å 두께로 실리콘 나이트라이드 막을 형성하기 위해, Ar 캐리어 가스와 함께 비스(디에틸)아미노실란을 스테이션으로 전달하고, 퍼지하고, 질소 플라즈마를 점화하고, 퍼지하는 반복된 사이클들로 증착될 수 있다. 또 다른 예에서, 실리콘 나이트라이드는 사이클 각각에서 약 0.5 Å 두께로 실리콘 나이트라이드 막을 형성하기 위해, Ar 캐리어 가스와 함께 트리실릴아민을 스테이션으로 전달하고, 퍼지하고, 질소 또는 암모니아 플라즈마를 점화하고, 퍼지하는 반복된 사이클들로 증착될 수 있다.
실리콘 나이트라이드 막들에 대한 대안으로서, 반도체 기판들은 도전성 재료 및 반도전성 재료와 같은 다른 막 재료들로 코팅될 수 있다. 예를 들어, 막은 나이트라이드, 옥사이드, 카바이드, 보라이드, 또는 이로 제한되는 것은 아니지만, 실리콘 옥사이드, 옥시나이트라이드, 도핑된 옥사이드, 도핑된 실리케이트 유리, BSG (boron doped silicate glass), PSG (phosphorus doped silicate glass), 및 BPSG (boron phosphorus doped silicate glass) 와 같은 USG (undoped silicate glass) 를 포함하는 다른 목표된 막 재료일 수 있다.
예들
이하의 표는 언더코팅 막 조성들, 증착 모드들, 가스 화학물질들, 컨포멀성, 두께들 및 막들을 증착하기 위한 시간들의 예들을 제시한다.
Figure pat00001
도 5는 ALD 실리콘 나이트라이드가 디크오로실란 (dichorosilane) 및 NH3를 사용하여 475 ℃에서 증착되는, 8 개의 챔버 조건들 (A-H) 에 대한 Al의 표면 농도 대 ALD SiN 축적물을 도시한다. 곡선 A는 세정되지 않고 언더코팅 ("UCT") 이 없는, 챔버의 표면들 상에 약 500 Å의 ALD 실리콘 나이트라이드 막의 축적 후 목표된 사양 (spec) 한계들 내로 떨어지는 표면 오염도를 예시한다. 곡선 B는 475 ℃에서 40 분 RF 세정을 겪고 언더코팅이 없는 챔버에 대해 표면 오염도가 사양 한계들 이상이라는 것을 예시한다. 곡선 C는 250 ℃에서 40 분 RF 세정, 이어서 1000 Å의 비-할라이드 ALD 실리콘 옥사이드 언더코팅 ("OX UCT") 증착 후 표면 오염도가 사양 한계들 이내라는 것을 예시한다. 곡선 D는 250 ℃에서 7.5 분 RF 세정, 이어서 1000 Å의 비-할라이드 ALD 실리콘 옥사이드 언더코팅의 증착 후 표면 오염도가 사양 한계들 이내라는 것을 예시한다. 곡선 E는 400 ℃에서 7.5 분 RF 세정, 이어서 1000 Å의 비-할라이드 ALD 실리콘 옥사이드 언더코팅의 증착 후 표면 오염도가 사양 한계들 내에 있다는 것을 예시한다. 곡선 F는 400 ℃에서 7.5 분 RF 세정, 이어서 5 ㎛ 의 PECVD 실리콘 옥사이드 언더코팅 증착 후 표면 오염도가 사양 한계들 이상이라는 것을 예시한다. 곡선 G는 400 ℃에서 7.5 분 RF 세정, 이어서 1000 Å의 할라이드 (디클로로실란 ("2X") 및 N2O) ALD 실리콘 옥사이드 언더코팅 증착 후 표면 오염도가 사양 한계들 이상이라는 것을 예시한다. 곡선 H는 실리콘 나이트라이드 빌드업 (buildup) 이 약 1000 Å에 도달할 때까지 400 ℃에서 7.5 분 RF 세정, 이어서 1000 Å의 할라이드 (디클로로실란 및 NH3) ALD 실리콘 옥사이드 언더코팅 증착 후 표면 오염도가 사양 한계들 이상이라는 것을 예시한다. 곡선 C, 곡선 D 및 곡선 E로 도시된 바와 같이, 1000 Å 두께 비-할라이드 기반 ALD 옥사이드 언더코팅의 사용은 1000 Å 두께 할라이드-기반 ALD 옥사이드 언더코팅 (곡선 G), 5 ㎛ 두께 PECVD 옥사이드 언더코팅 (곡선 F) 또는 1000 Å 두께 할라이드-기반 실리콘 나이트라이드 언더코팅 (곡선 H) 과 비교하여 표면 오염도의 상당한 감소를 제공한다.
본 명세서에 사용된 바와 같이, 수치적 값들과 함께 사용될 때, 용어 "약"은 언급된 수치적 값 주변의 ±10 %의 오차를 포함하도록 의도된다.
챔버를 컨디셔닝하고 ALD 실리콘 나이트라이드 막을 증착하는 것의 다양한 양태들이 이들의 구체적인 실시예들을 참조하여 상세히 기술되었지만, 첨부된 청구항의 범위로부터 벗어나지 않고, 다양한 변화들 및 변경들이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 당업자에게 자명할 것이다.

Claims (24)

  1. 기판 상에 실리콘 나이트라이드 막을 형성하기 위한 프로세싱 챔버를 준비하는 방법에 있어서,
    불소 플라즈마를 사용하여 프로세싱 챔버 내에서 기판을 프로세싱하도록 사용되는 페데스탈의 세라믹 표면 및 샤워헤드의 세라믹 표면을 세정하는 단계;
    상기 세라믹 표면들 상에 할라이드-프리 (halide-free) 옥사이드 언더코팅을 형성하는 단계;
    상기 할라이드-프리 옥사이드 언더코팅 상에 실리콘 나이트라이드의 프리코팅 (precoating) 을 형성하는 단계; 및
    상기 기판이 상기 페데스탈의 상기 세라믹 표면 상에서 지지되는 시간 기간 동안 상기 기판 상에 실리콘 나이트라이드 막을 형성하는 단계를 포함하는, 프로세싱 챔버를 준비하는 방법.
  2. 제 1 항에 있어서,
    상기 세라믹 표면들 상에 상기 할라이드-프리 옥사이드 언더코팅을 형성하는 단계는, 상기 프로세싱 챔버 내에 기판이 없는 상태로,
    증기 상 (vapor phase) 의 할로겐-프리 실리콘-함유 제 1 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하고 상기 제 1 반응물질로 하여금 상기 프로세싱 챔버의 내부 표면들 상에 흡착하게 하는 프로세스;
    상기 제 1 반응물질이 상기 프로세싱 챔버의 상기 내부 표면들 상에 흡착되는 동안 산소-함유 제 2 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하는 프로세스; 및
    상기 할라이드-프리 옥사이드 언더코팅을 형성하도록 상기 프로세싱 챔버의 상기 내부 표면들 상에서 상기 제 1 반응물질과 상기 제 2 반응물질 사이의 반응을 구동하기 위해, 상기 제 1 반응물질 및 상기 제 2 반응물질 중 적어도 하나의 플로우가 중단될 때 상기 프로세싱 챔버를 플라즈마에 노출하는 프로세스로서, 상기 할라이드-프리 옥사이드 언더코팅은 상기 프로세싱 챔버의 상기 내부 표면들을 컨포멀하게 (conformally) 코팅하는, 상기 프로세싱 챔버를 플라즈마에 노출하는 프로세스를 포함하는 프로세스들에 의해 수행되는, 프로세싱 챔버를 준비하는 방법.
  3. 제 2 항에 있어서,
    상기 세라믹 표면들 상에 상기 할라이드-프리 옥사이드 언더코팅을 형성하는 단계는, 상기 프로세싱 챔버 내에 기판이 없는 상태로, 상기 언더코팅이 적어도 약 500 Å 두께가 될 때까지 반복되는, 프로세싱 챔버를 준비하는 방법.
  4. 제 1 항에 있어서,
    상기 샤워헤드의 상기 세라믹 표면 및 상기 페데스탈의 세라믹 표면은 알루미늄 나이트라이드이고;
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 400 ℃ 이하의 온도인 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되고; 그리고
    상기 할라이드-프리 옥사이드 언더코팅 상에 상기 실리콘 나이트라이드의 프리코팅을 형성하는 단계는 400 ℃ 이상의 온도의 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되는, 프로세싱 챔버를 준비하는 방법.
  5. 제 1 항에 있어서,
    상기 할라이드-프리 옥사이드 언더코팅은 적어도 500 Å의 두께로 형성되는, 프로세싱 챔버를 준비하는 방법.
  6. 제 1 항에 있어서,
    상기 할라이드-프리 옥사이드 언더코팅 상에 상기 실리콘 나이트라이드의 프리코팅을 형성하는 단계는 약 475 ℃ 내지 약 635 ℃의 온도의 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되는, 프로세싱 챔버를 준비하는 방법.
  7. 제 1 항에 있어서,
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 300 ℃ 이하의 온도의 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되는, 프로세싱 챔버를 준비하는 방법.
  8. 제 1 항에 있어서,
    상기 할라이드-프리 옥사이드 언더코팅 상에 상기 실리콘 나이트라이드의 프리코팅을 형성하는 단계는, 상기 프로세싱 챔버 내에 기판이 없는 상태로,
    증기 상의 할로실란-함유 제 1 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하고 상기 제 1 반응물질로 하여금 상기 프로세싱 챔버의 상기 내부 표면들 상에 흡착하게 하는 프로세스로서, 상기 할로실란-함유 제 1 반응물질은 클로로실란, 요오드실란 및 브로모실란으로부터 선택된 적어도 하나의 화학적 화합물을 포함하는, 상기 제 1 반응물질 도입 및 흡착 프로세스;
    상기 제 1 반응물질이 상기 프로세싱 챔버의 상기 내부 표면들 상에 흡착되는 동안 증기 상의 질소-함유 제 2 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하는 프로세스; 및
    상기 할라이드-프리 옥사이드 언더코팅을 형성하도록 상기 프로세싱 챔버의 상기 내부 표면들 상에서 상기 제 1 반응물질과 상기 제 2 반응물질 사이의 반응을 구동하기 위해, 상기 제 1 반응물질 및 상기 제 2 반응물질 중 적어도 하나의 상기 플로우가 중단될 때 상기 프로세싱 챔버를 플라즈마에 노출하는 프로세스를 포함하는 프로세스들에 의해 수행되고, 상기 할라이드-프리 옥사이드 언더코팅 상에 상기 실리콘 나이트라이드의 프리코팅을 형성하는 단계는 상기 프로세싱 챔버의 상기 내부 표면들을 컨포멀하게 코팅하는, 프로세싱 챔버를 준비하는 방법.
  9. 제 8 항에 있어서,
    상기 세라믹 표면들 상에 상기 할라이드-프리 옥사이드 언더코팅을 형성하는 단계는 상기 프로세싱 챔버 내에 기판이 없는 상태로, 상기 프리코팅이 적어도 약 500 Å 두께가 될 때까지 반복되는, 프로세싱 챔버를 준비하는 방법.
  10. 제 8 항에 있어서,
    상기 할로실란은 모노클로로실란 (monochlorosilane), 디클로로실란, 테트라클로로실란 및 헥사디클로로실란의 그룹으로부터 선택되고, 상기 요오드실란은 디요오드실란, 트리요오드실란 및 테트라요오드실란의 그룹으로부터 선택되고, 그리고 상기 브로모실란은 테트라브로모실란인, 프로세싱 챔버를 준비하는 방법.
  11. 제 1 항에 있어서,
    기판들의 배치 (batch) 로부터의 기판 각각을 상기 프로세싱 챔버 내로 이송하고 상기 페데스탈의 상기 세라믹 표면 상에 지지된 상기 기판 상에 상기 실리콘 나이트라이드의 막을 형성함으로써 상기 기판을 프로세싱하는 단계를 더 포함하고, 상기 기판을 프로세싱하는 단계는,
    증기 상의 할로실란-함유 제 1 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하고 상기 제 1 반응물질로 하여금 상기 프로세싱 챔버의 상기 기판의 노출된 표면 상에 흡착하게 하는 동작으로서, 상기 할로실란-함유 제 1 반응물질은 클로로실란, 요오드실란 및 브로모실란으로부터 선택된 적어도 하나의 화학적 화합물을 포함하는, 상기 제 1 반응물질 도입 및 흡착 동작;
    상기 제 1 반응물질이 상기 기판의 상기 노출된 표면 상에 흡착되는 동안 증기 상의 질소-함유 제 2 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하는 동작; 및
    상기 실리콘 나이트라이드의 막을 형성하도록 상기 프로세싱 챔버의 상기 기판의 상기 노출된 표면 상에서 상기 제 1 반응물질과 상기 제 2 반응물질 사이의 반응을 구동하기 위해, 상기 제 1 반응물질 및 상기 제 2 반응물질 중 적어도 하나의 플로우가 중단될 때 상기 프로세싱 챔버를 플라즈마에 노출하는 동작을 포함하는 동작들에 의해 수행되는, 프로세싱 챔버를 준비하는 방법.
  12. 제 1 항에 있어서,
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 리모트 유도 결합 플라즈마 생성기를 사용하여 상기 프로세싱 챔버로부터 업스트림에서 플라즈마 상태로 에너자이징된 (energized) 질소 트리플루오라이드 (NF3) 를 사용하여 수행되는, 프로세싱 챔버를 준비하는 방법.
  13. 제 1 항에 있어서,
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 NF3를 상기 프로세싱 챔버 내로 흘리고, 상기 샤워헤드에 임베딩된 무선 주파수 (RF) 전극으로 RF 전력을 인가함으로써 상기 프로세싱 챔버 내에서 상기 NF3를 플라즈마 상태로 에너자이징함으로써 수행되는, 프로세싱 챔버를 준비하는 방법.
  14. 제 1 항에 있어서,
    상기 세라믹 표면들 상에 상기 할라이드-프리 옥사이드 언더코팅을 형성하는 단계는,
    실란 (SiH4) 을 상기 프로세싱 챔버 내로 흘리고 상기 SiH4로 하여금 상기 프로세싱 챔버 내 내부 표면들 상에 흡착하게 하는 동작;
    산소 (O2) 를 상기 프로세싱 챔버 내로 흘리고 상기 O2로 하여금 상기 내부 표면들 상에 흡착하게 하는 동작;
    상기 SiH4 및 상기 O2 중 적어도 하나의 상기 플로우가 중단될 때 상기 SiH4와 상기 O2 사이의 반응을 구동하고 실리콘-옥사이드 언더코팅의 단층을 형성하도록 상기 내부 표면들을 플라즈마에 노출하는 동작을 포함하는 동작들에 의해 수행되는, 프로세싱 챔버를 준비하는 방법.
  15. 제 1 항에 있어서,
    상기 프로세싱 챔버는 4 개의 마이크로-볼륨들을 갖는 PEALD (plasma enhanced atomic layer deposition) 멀티 스테이션 리액터이고, 상기 방법은 상기 4 개의 마이크로-볼륨들 각각에서 동시에 수행될 수도 있는, 프로세싱 챔버를 준비하는 방법.
  16. 제 1 항에 있어서,
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 약 5 분 내지 약 10 분 지속되는 RF 세정을 포함하고, 그리고 상기 세라믹 표면들 상에 할라이드-프리 옥사이드 언더코팅을 형성하는 단계는 약 500 Å 내지 약 2000 Å의 두께를 갖는 비-할라이드 (non-halide) 옥사이드 언더코팅을 형성하는 것을 더 포함하는, 프로세싱 챔버를 준비하는 방법.
  17. 기판 상에 실리콘 나이트라이드 막을 형성하기 위한 프로세싱 챔버를 준비하는 방법에 있어서,
    상기 세라믹 표면들 상에 알루미늄-풍부 부산물들이 형성되도록 불소 플라즈마를 사용하여 프로세싱 챔버 내 상기 페데스탈의 세라믹 표면 및 상기 샤워헤드의 세라믹 표면을 세정하는 단계;
    상기 알루미늄-풍부 부산물들을 커버하기 위해 상기 세라믹 표면들 상에 컨포멀한 할라이드-프리 옥사이드 언더코팅을 형성하는 단계; 및
    상기 할라이드-프리 옥사이드 언더코팅 상에 프리코팅을 형성하는 단계를 포함하는, 프로세싱 챔버를 준비하는 방법.
  18. 제 17 항에 있어서,
    기판들의 배치로부터의 기판 각각을 상기 프로세싱 챔버 내로 이송하고 상기 기판 상에 상기 실리콘 나이트라이드의 막을 형성함으로써 상기 기판을 프로세싱하는 단계를 더 포함하는, 프로세싱 챔버를 준비하는 방법.
  19. 제 17 항에 있어서,
    상기 세라믹 표면들 상에 상기 할라이드-프리 옥사이드 언더코팅을 형성하는 단계는, 상기 프로세싱 챔버 내에 기판이 없는 상태로,
    증기 상 (vapor phase) 의 할로겐-프리 실리콘-함유 제 1 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하고 상기 제 1 반응물질로 하여금 상기 프로세싱 챔버의 내부 표면들 상에 흡착하게 하는 프로세스;
    상기 제 1 반응물질이 상기 프로세싱 챔버의 상기 내부 표면들 상에 흡착되는 동안 산소-함유 제 2 반응물질의 플로우를 상기 프로세싱 챔버 내로 도입하는 프로세스; 및
    상기 할라이드-프리 옥사이드 언더코팅을 형성하도록 상기 프로세싱 챔버의 상기 내부 표면들 상의 상기 제 1 반응물질과 상기 제 2 반응물질 사이의 반응을 구동하기 위해, 상기 제 1 반응물질 및 상기 제 2 반응물질 중 적어도 하나의 플로우가 중단될 때 상기 프로세싱 챔버를 플라즈마에 노출하는 프로세스로서, 상기 할라이드-프리 옥사이드 언더코팅은 상기 프로세싱 챔버의 상기 내부 표면들을 컨포멀하게 (conformally) 코팅하는, 상기 프로세싱 챔버를 플라즈마에 노출하는 프로세스를 포함하는 프로세스들에 의해 수행되는, 프로세싱 챔버를 준비하는 방법.
  20. 제 19 항에 있어서,
    상기 세라믹 표면들 상에 상기 할라이드-프리 옥사이드 언더코팅을 형성하는 단계는 상기 프로세싱 챔버 내에 기판이 없는 상태로, 상기 언더코팅이 적어도 약 500 Å 두께가 될 때까지 반복되는, 프로세싱 챔버를 준비하는 방법.
  21. 제 17 항에 있어서,
    상기 샤워헤드의 상기 세라믹 표면 및 상기 페데스탈의 세라믹 표면은 알루미늄 나이트라이드이고;
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 400 ℃ 이하의 온도인 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되고; 그리고
    상기 할라이드-프리 옥사이드 언더코팅 상에 상기 실리콘 나이트라이드의 프리코팅을 형성하는 단계는 400 ℃ 이상의 온도의 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되는, 프로세싱 챔버를 준비하는 방법.
  22. 제 17 항에 있어서,
    상기 할라이드-프리 옥사이드 언더코팅 상에 상기 실리콘 나이트라이드의 프리코팅을 형성하는 단계는 약 475 ℃ 내지 약 635 ℃의 온도의 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되는, 프로세싱 챔버를 준비하는 방법.
  23. 제 17 항에 있어서,
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 300 ℃ 이하의 온도의 상기 페데스탈 및 상기 샤워헤드를 사용하여 수행되는, 프로세싱 챔버를 준비하는 방법.
  24. 제 17 항에 있어서,
    상기 불소 플라즈마를 사용하여 상기 페데스탈의 상기 세라믹 표면 및 상기 샤워헤드의 상기 세라믹 표면을 세정하는 단계는 리모트 유도 결합 플라즈마 생성기를 사용하여 상기 프로세싱 챔버로부터 업스트림에서 플라즈마 상태로 질소 플루오라이드 (NF3) 를 에너자이징하는 하는 단계, 및 NF3를 상기 프로세싱 챔버 내로 흘리고, 상기 샤워헤드에 임베딩된 RF 전극으로 RF 전력을 인가함으로써 상기 프로세싱 챔버 내에서 상기 NF3를 플라즈마 상태로 에너자이징하는 단계로부터 선택된 적어도 하나의 단계를 사용함으로써 수행되는, 프로세싱 챔버를 준비하는 방법.
KR1020227040499A 2016-10-06 2017-09-19 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법 KR20220159488A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/287,176 US9824884B1 (en) 2016-10-06 2016-10-06 Method for depositing metals free ald silicon nitride films using halide-based precursors
US15/287,176 2016-10-06
KR1020197012760A KR102470170B1 (ko) 2016-10-06 2017-09-19 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법
PCT/US2017/052138 WO2018067299A1 (en) 2016-10-06 2017-09-19 Method for depositing metals free ald silicon nitride films using halide-based precursors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197012760A Division KR102470170B1 (ko) 2016-10-06 2017-09-19 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법

Publications (1)

Publication Number Publication Date
KR20220159488A true KR20220159488A (ko) 2022-12-02

Family

ID=60303244

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197012760A KR102470170B1 (ko) 2016-10-06 2017-09-19 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법
KR1020227040499A KR20220159488A (ko) 2016-10-06 2017-09-19 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197012760A KR102470170B1 (ko) 2016-10-06 2017-09-19 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법

Country Status (5)

Country Link
US (2) US9824884B1 (ko)
KR (2) KR102470170B1 (ko)
CN (2) CN109891550A (ko)
TW (1) TW201827637A (ko)
WO (1) WO2018067299A1 (ko)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020178973A1 (ja) * 2019-03-05 2020-09-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN112117176B (zh) * 2019-06-20 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理设备及等离子体处理系统
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111364017B (zh) * 2020-04-20 2022-04-22 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023133075A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Silicon nitride deposition
KR20230147306A (ko) 2022-04-14 2023-10-23 (주)디엔에프 금속 규화물 캡핑층이 형성된 펠리클의 제조방법 및 이로부터 제조된 펠리클

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7601648B2 (en) * 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7858510B1 (en) * 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20120180810A1 (en) 2009-07-26 2012-07-19 Leybold Optics Gmbh Cleaning of a process chamber
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8647904B2 (en) * 2010-03-01 2014-02-11 Sharp Kabushiki Kaisha Method for manufacturing nitride semiconductor device, nitride semiconductor light-emitting device, and light-emitting apparatus
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
JP2012216696A (ja) * 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
KR102037869B1 (ko) * 2013-02-08 2019-10-29 삼성전자주식회사 Sti를 가진 반도체 소자 제조 방법
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543140B2 (en) * 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US20160056032A1 (en) 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9601693B1 (en) * 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9824941B2 (en) * 2015-11-17 2017-11-21 Lam Research Corporation Systems and methods for detection of plasma instability by electrical measurement
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process

Also Published As

Publication number Publication date
KR102470170B1 (ko) 2022-11-22
KR20190052154A (ko) 2019-05-15
CN117210798A (zh) 2023-12-12
WO2018067299A1 (en) 2018-04-12
US10020188B2 (en) 2018-07-10
TW201827637A (zh) 2018-08-01
US9824884B1 (en) 2017-11-21
CN109891550A (zh) 2019-06-14
US20180102245A1 (en) 2018-04-12

Similar Documents

Publication Publication Date Title
KR102470170B1 (ko) 할라이드-기반 전구체들을 사용하여 금속 프리 ald 실리콘 나이트라이드 막들을 증착하는 방법
KR102548630B1 (ko) 후면 증착 장치 및 애플리케이션들
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
US11049716B2 (en) Gap fill using carbon-based films
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
CN111247269B (zh) 介电膜的几何选择性沉积
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR20140066220A (ko) 반도체 공정용 유동성 실리콘-탄소-질소 층
US20220384186A1 (en) Methods to enable seamless high quality gapfill
CN116137931A (zh) 减少半导体设备中的层内电容
CN114761612A (zh) 原位pecvd覆盖层
US12014921B2 (en) Plasma enhanced wafer soak for thin film deposition
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
KR20220139376A (ko) 실리콘-함유 막들의 고온 증착을 위한 전구체들

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
E601 Decision to refuse application
E801 Decision on dismissal of amendment