CN109891550A - 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法 - Google Patents

使用基于卤化物的前体沉积无金属ald氮化硅膜的方法 Download PDF

Info

Publication number
CN109891550A
CN109891550A CN201780062174.2A CN201780062174A CN109891550A CN 109891550 A CN109891550 A CN 109891550A CN 201780062174 A CN201780062174 A CN 201780062174A CN 109891550 A CN109891550 A CN 109891550A
Authority
CN
China
Prior art keywords
reaction chamber
reactant
semiconductor substrate
pedestal
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780062174.2A
Other languages
English (en)
Inventor
詹姆斯·S·思姆斯
乔恩·亨利
拉梅什·钱德拉塞卡拉
安德鲁·约翰·麦克罗
萨沙撒耶·瓦拉达拉简
凯瑟琳·梅赛德·凯尔克纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310929608.1A priority Critical patent/CN117210798A/zh
Publication of CN109891550A publication Critical patent/CN109891550A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种在等离子体增强原子层沉积(PEALD)反应室的微体积中处理的半导体衬底上沉积氮化硅膜的方法,其中单个半导体衬底被支撑在基座的陶瓷表面上并且将工艺气体通过喷头的陶瓷表面中的气体出口引入所述半导体衬底上方的反应区中,所述方法包括:(a)用氟等离子体清洁所述基座和所述喷头的所述陶瓷表面,(b)在所述陶瓷表面上沉积不含卤化物的原子层沉积(ALD)氧化物底涂层,(c)在所述不含卤化物的ALD氧化物底涂层上沉积ALD氮化硅预涂层,以及(d)通过将每个半导体衬底转移到所述反应室中并在被支撑在所述基座的所述陶瓷表面上的所述半导体衬底上沉积ALD氮化硅膜来处理成批的半导体衬底。

Description

使用基于卤化物的前体沉积无金属ALD氮化硅膜的方法
背景技术
随着半导体工业的发展,器件尺寸变得越来越小。这些逐渐变小的特征需要非常均匀的沉积过程,因为膜杂质或其他不均匀性的存在常常会导致半导体器件的失效。内室表面上的底涂层可有助于改善晶片与晶片之间的厚度均匀性和晶片内厚度均匀性。
发明内容
本文公开了一种在等离子体增强原子层沉积(PEALD)反应室的微体积中处理的半导体衬底上沉积氮化硅膜的方法,其中半导体衬底被支撑在基座的陶瓷表面上并且将工艺气体通过喷头的陶瓷表面中的气体出口引入所述半导体衬底上方的反应区中。所述方法包括清洁陶瓷表面,在陶瓷表面上沉积底涂层,在底涂层上沉积预涂层,以及通过一次一个地将半导体衬底转移到处理区域中以及在每个半导体衬底上沉积氮化硅膜来在处理区域中顺序处理一批半导体衬底。在处理预定数量的半导体衬底和/或在内表面上的膜累积达到预定厚度之后,可以重复清洁、底涂覆和预涂覆步骤,然后处理另一批半导体衬底。
在本文的实施方案的一个方面,在等离子体增强原子层沉积(PEALD)反应室中处理的半导体衬底上沉积氮化硅膜,其中单个半导体衬底被支撑在基座的陶瓷表面上并且将工艺气体通过喷头的陶瓷表面中的气体出口引入所述半导体衬底上方的反应区中。所述方法包括:(a)用氟等离子体清洁所述基座和所述喷头的所述陶瓷表面,(b)在所述陶瓷表面上沉积不含卤化物的原子层沉积(ALD)氧化物底涂层,(c)在所述不含卤化物的ALD氧化物底涂层上沉积ALD氮化硅预涂层,以及(d)通过顺序地将每个半导体衬底转移到所述反应室中并在被支撑在所述基座的所述陶瓷表面上的所述半导体衬底上沉积ALD氮化硅膜来处理成批的半导体衬底。
在形成所述底涂层中,所述方法可以通过以下方式来进行:(i)将不含卤素的含硅第一反应物的气相流引入所述反应室并使所述第一反应物能吸附到所述反应室的所述陶瓷表面上;(ii)在使所述第一反应物吸附在所述反应室的所述陶瓷表面上时,将含氧的第二反应物的气相流引入所述反应室;以及(iii)当所述第一反应物和所述第二反应物中的至少一种的流动停止时,将所述反应室暴露于等离子体,以便驱动所述反应室的所述陶瓷表面上的在所述第一反应物和所述第二反应物之间的反应,以形成所述底涂层,其中所述底涂层共形涂覆所述反应室的所述陶瓷表面;其中操作(i)-(iii)在所述反应室中不存在半导体衬底时发生,并且其中重复操作(i)-(iii)直至所述底涂层至少约500埃厚。
根据另一实施方案,在等离子体增强原子层沉积(PEALD)反应室的微体积中处理的半导体衬底上沉积膜,其中单个半导体衬底被支撑在基座的陶瓷表面上并且工艺气体通过喷头的陶瓷表面中的气体出口引入所述半导体衬底上方的反应区。所述方法包括:(a)用氟等离子体清洁所述基座和所述喷头的所述陶瓷表面,以便在所述陶瓷表面上形成富含铝的副产物;(b)在所述陶瓷表面上沉积共形的不含卤化物的原子层沉积(ALD)氧化物底涂层,以覆盖所述富含铝的副产物;(c)在所述不含卤化物的ALD氧化物底涂层上沉积预涂层;以及(d)通过将每个半导体衬底转移到所述反应室中并在被支撑在所述基座的所述陶瓷表面上的所述半导体衬底上沉积膜来处理成批的半导体衬底。
所述共形的不含卤化物的ALD氧化物底涂层可以通过以下方式来形成:(i)将不含卤素的含硅第一反应物的气相流引入所述反应室并且使所述第一反应物能吸附到所述反应室的所述内部表面上;(ii)在使所述第一反应物吸附在所述反应室的所述内表面上时,将含氧的第二反应物的气相流引入所述反应室;以及(iii)当所述第一反应物和所述第二反应物中的至少一种的流动停止时,将所述反应室暴露于等离子体,以便驱动所述反应室的所述内表面上的在所述第一反应物和所述第二反应物之间的反应,以形成所述底涂层,其中所述底涂层共形涂覆所述反应室的所述内表面;其中操作(i)-(iii)在所述反应室中不存在衬底时发生,并且其中重复操作(i)-(iii)直至所述底涂层为至少约500埃厚。优选地,所述喷头和所述基座的所述陶瓷表面是氮化铝,步骤(a)在低于400℃的温度下对所述基座和所述喷头进行,并且步骤(c)在高于400℃的温度下对所述基座和所述喷头进行。此外,所述底涂层可以沉积到至少500埃的厚度,步骤(c)可以在约475℃至约635℃的温度下对所述基座和所述喷头进行,并且步骤(a)可以在低于300℃的温度下对所述基座和所述喷头进行。优选地,步骤(a)是利用使用远程电感耦合等离子体发生器在所述微体积上游被激励成等离子体状态的NF3进行的,或者通过使NF3流入所述微体积并且通过将RF功率施加到所述喷头中的嵌入式RF电极而在所述微体积内将所述NF3激励成等离子体状态来执行。
附图说明
图1根据本文公开的实施方案示出了显示化学沉积装置的概观的示意图。
图2示出了描绘被布置用于实施本文公开的实施方案的各种装置部件的方框图,其中在薄膜的产生过程中,等离子体可以用于在反应物质之间=增强沉积和/或表面反应。
图3示出了根据本文公开的实施方案布置的基座模块和喷头模块的横截面。
图4示出了根据本文公开的实施方案布置的喷头模块的横截面。
图5是八室条件下表面污染与ALD SiN累积的关系图。
具体实施方式
前端(FEOL)膜具有严格的膜内金属要求,因为这些膜靠近器件的有源区沉积。对于大多数金属,包括铝(Al),典型的FEOL膜内金属规格<1×1010原子/cm2。对于高通量的高共形原子层沉积(ALD)氮化硅膜,仅基于卤化物的硅前体具有制造用于大多数应用的可制造膜所需的反应性。
一般地基于卤化物的化学品,特别是基于氯的(基于Cl的)硅前体,将侵蚀Al室部件并在>150℃的温度下制备挥发性副产物,例如AlCl3,其可以结合到膜中。由于这个原因,将暴露于卤化物化学品的在半导体衬底(晶片)附近的室部件由诸如氮化铝(AlN)之类的陶瓷材料制成,以防止Al腐蚀。虽然处于刚接收状态的AlN陶瓷对基于卤化物的化学品是惰性的,但暴露于基于NF3的室清洁的AlN将在室清洁期间在表面上产生含Al化合物,含Al化合物随后将受到基于卤化物的化学品的侵蚀,从而产生结合在沉积在半导体衬底上的薄膜中的挥发性Al化合物。
对于基于Cl的ALD氮化物膜形成,后清洁反应性表面化合物最终可被耗时的ALDSiN预涂层覆盖,其最终使膜内Al浓度降低至低于指定限度。遗憾的是,所需的ALD SiN预涂层的量不是非常可重复的,并且可能需要花费超过48小时的沉积时间才能达到膜内金属满足指定限度并且最终可以处理产品晶片的程度。这种方法对于生产环境而言太长并且不可重复,并且在达到累积极限并且需要运行另一个室清洁之前严格限制可以无金属处理的晶片的数量。
如本文所公开的,已经开发了一种方法,其可以与Lam Research Corporation的Striker NitrideTM虚拟密封室设计一起使用,其能够重复地沉积满足FEOL金属要求的高通量ALD SiN膜,而不需要过多的ALD SiN预涂层。基于高温卤化物的ALD SiN工艺(典型的基座温度为475℃至635℃)的方法将基座温度降至<400℃,进行基于NF3的清洁,使温度逐步回升达到处理温度,并在室内表面涂上无卤素的快速形成的(fast)ALD氧化物底涂层。在400℃或更低的温度下进行室清洁可以充分减慢NF3与AlN反应的动力学特征,从而使产生的含Al副产物的量保持在可以用合理量的不含卤化物的ALD氧化物底涂层覆盖的水平,底涂层厚度为约2000埃或更小,优选为约1000埃或更小。由于ALD氧化物工艺很快,因此可以在1到1个半小时内沉积1000埃底涂层,这对于ALD SiN来说是可容忍的时间量,因为室清洁在生产中每3-4天仅进行一次。选择不含卤化物的ALD氧化物底涂层是通过实验测试选择的交替的底涂层(例如PECVD氧化物和卤化物基ALD氧化物)的结果。测试结果表明,只有高度共形的、快速形成的、不含卤化物的ALD氧化物膜才能以可制造的方式成功满足极低后清洁金属的要求。
Striker NitrideTM虚拟密封设计提供小体积处理区域(微体积),其包含在清洁、底涂覆、预涂覆和氮化硅沉积步骤期间产生的气体和副产物。沉积和清洁化学反应物可以被限制在晶片腔中,其可以用ALD氧化物底涂层完全涂覆。该抑制(containment)对于时间ALD是有用的,因为在剂量投配(dose)和转化半反应循环之间使用压力循环以确保相应的化学品不混合。该压力循环引入了多种AlCl3传输模式,其需要包含反应性化学品以抑制金属结合到SiN膜中。该方法也适用于在低于400℃的温度下沉积的基于卤化物的ALD SiN工艺,因为后清洁金属测试表明在250℃或更低的清洁温度下仍然产生含Al的副产物。不含卤化物的ALD氧化物底涂层可证明对于抑制PECVD反应器中的金属也是有用的,因为底涂层测试清楚地表明PECVD氧化物底涂层在覆盖潜在的金属源方面较差。
本文所公开的方法为时间ALD单晶片系统提供了所需的解决方案,其通常需要频繁的、周期性的基于NF3的清洁。时间反应器之所以如此命名,是因为晶片是固定的,但是半反应气体随着时间的推移而来回切换。对于具有相对慢的动力学特性的工艺,如ALD SiN,气体切换的时间相对于剂量投配和转化半反应时间短。在空间反应器中,气体始终开通,但晶片通过转盘上的专用隔离体积移动。晶片可以移动的速度由反应动力学特性决定。空间ALD小批量系统可以避免基于NF3的清洁,因为每个半反应都局限于专用的室容积。这避免了在隔离室壁上形成膜,并且不需要频繁的NF3室清洁。炉子ALD系统可以使用石英组件来消除金属源,因为它们不需要经常运行基于NF3的室清洁。它们的等温设计允许它们在壁上积累沉积物,直到需要进行系统性能维护处理,这通常需要数月。虽然炉ALD和空间小批量ALD系统可以避免后NF3清洁金属污染,但这些反应器无法利用时间单晶片ALD反应器可以提供的优势,例如轴对称厚度分布、更低的化学品消耗、动态缓慢的工艺(如SiN)的更高的产量、由于整个晶片上的化学通量均匀而导致的在高深宽比特征上的均匀的中心到边缘侧壁厚度、热预算较低、以及通过可变的沉积温度实现的渐变的(graded)膜功能。
Striker NitrideTM系统使得能够使用基于卤化物的化学品在时间单晶片等离子体增强原子层沉积(PEALD)工具中沉积快速形成的共形AlD SiN膜。对于时间ALD反应器,在壁上形成膜,并且必须使用基于NF3的化学品比上述技术更频繁地清洁晶片腔。为了利用时间ALD的许多好处,必须以不降低产量和增加拥有成本至使得整个过程不可制造的程度的方式来克服高后清洁金属问题。通过将低温清洁与非卤化物ALD氧化物底涂层相结合来克服这些问题,以使得在时间反应器中的无金属ALD SiN晶片处理具有最小的非增值开销时间。降低清洁温度是理想的,以减缓NF3和AlN之间的腐蚀反应。由于卤化物化学品将与这些含Al的腐蚀副产物反应,因此非卤化物ALD氧化物底涂层可在覆盖将暴露于基于卤化物的沉积化学品的所有表面时用于抑制AlXy形成。ALD氧化物是有益的,因为它是100%共形的并且将覆盖晶片腔(包括面板和升降销孔)中的所有表面不规则性。此外,ALD氧化物具有快速动力学特性,其能够实现短循环时间和高沉积速率,这极大地减少了涂覆晶片腔所需的底涂时间。Striker NitrideTM虚拟密封设计的优点在于它在对可以用非卤化物ALD氧化物底涂层完全涂覆的区域进行压力循环期间包含沉积化学品。
本文所述的方法对于具有非金属(陶瓷)室内室设计的沉积系统非常有利。通过为这种系统提供原位的100%共形底涂层,可以在用基于卤化物的SiN化学品处理衬底之前进行重复的降低温度的原位沉积/清洁循环。对于单晶片时间工具,与其他替代方案相比,用于ALD SiN金属抑制的化学品抑制硬件和快速形成的共形的原位非卤化物ALD氧化物底涂层的组合提供了低拥有成本和材料灵活性。可能需要48-72小时(具体取决于化学品)的耗时的ALD SiN预涂层会严重限制工具可用性。PECVD氧化物底涂层具有低共形性,并且不能覆盖被清洁化学品侵蚀的高度不规则的粗糙的材料表面。保护衬垫可用于保护室部件免受卤化物侵蚀,但是找到能够在热循环中存活并且耐卤化物沉积化学品、基于NF3和基于O2的清洁(碳掺杂膜所需要)的衬垫/涂层是具有挑战性且昂贵的。因此,通过消除对可更换衬里或室频繁打开以消除腐蚀性副产物的需要,本文所述的方法进一步改善了正常运行时间和所有权成本。
本文描述的方法可以在具有各种类型的喷头布置的沉积室中进行。一般来说,有两种主要类型的沉积喷头:枝形吊灯类型和嵌入式安装。枝形吊灯喷头具有杆,杆的一端附接到室顶部,另一端附接到类似枝形吊灯的面板。杆的一部分可以突出室顶部以便能与气体管线和RF功率连接。嵌入式安装的喷头集成在室顶部,并且没有杆。在优选的实施方案中,嵌入式安装型喷头可用于减小室容积的目的,例如在共同转让的美国公开申请2015/0004798和2015/0011096中公开的,其全部公开内容通过引用结合于此。
图1是根据本文公开的实施方案显示用于化学沉积装置201的概观的示意图。衬底13位于能够相对于喷头模块211升高或降低的可移动的基座模块223的顶部上,喷头模块211也可以被垂直移动。经由气体管线203将反应物质气体引入到室的处理区318中。注意,该装置可以被修改成具有一个或多个气体管线,具体取决于所使用的反应气体的数量。室通过连接到真空源209的真空管线235被抽真空。真空源可以是真空泵。通过升高基座,微体积位于喷头模块211下方。使用微体积,可以限制腐蚀性工艺气体暴露于周围室的铝室表面,基座模块和喷头模块位于该周围室内。为了处理300毫米晶片,微体积可以从0.1升到2升。在衬底处理期间,可以升高或降低基座模块以使衬底暴露于微体积和/或改变微体积的体积。例如,在衬底传送阶段中,可以升高基座模块以将衬底定位在微体积内,使得微体积完全包围衬底以及基座模块的一部分。然而,代替移动基座模块,可以相对于基座模块调节喷头模块的位置以改变微体积的体积。此外,应当理解,基座模块和/或喷头模块的竖直位置可以通过任何合适的机构改变,并且可以通过一个或多个合适的控制器以编程方式执行调整。装置201可以是具有多个微体积的多站式装置。例如,在四站式装置中,外室可以具有180L的内部容积,其中四个基座可以升高靠近四个喷头以提供四个微体积。
图2提供了描绘被布置用于实施本文所公开的实施方案的各种装置部件的简单方块图,其中等离子体被用于增强沉积。如图所示,处理区318用于容纳由电容耦合等离子体系统产生的等离子体,该电容耦合等离子体系统包括与基座模块223协同工作的喷头模块211,其中基座模块223被加热。诸如至少一个高频(HF)RF发生器204之类的RF源(多个)被连接到匹配网络206,以及任选的低频(LF)RF发生器202被连接到喷头模块211。在一替代实施方案中,高频发生器204可以被连接到基座模块223。通过匹配网络206供给的功率和频率足以导致从处理气体/蒸气产生等离子体。在一实施方案中,高频发生器和低频发生器两者均被使用,而在替代的实施方案中,仅高频发生器被使用。在典型的处理中,高频发生器通常在约2-100MHz的频率下工作;在优选的实施方案中,在13.56MHz或27MHz的频率下工作。低频发生器通常在约50kHz至2MHz下工作;在优选的实施方案中,在约350至600kHz下工作。处理参数可基于室容积、衬底尺寸以及其它因素调节。类似地,处理气体的流率可以取决于真空室或处理区的自由体积。
在室中,基座模块223支撑衬底13,诸如薄膜之类的材料可以沉积在该衬底13上。基座模块223可以包括叉或升降销以在沉积和/或等离子体处理反应期间以及在沉积和/或等离子体处理反应之间保持以及传送衬底。在一实施方案中,衬底13可以被配置成被搁在基座模块223的表面上,然而,在替代的实施方案中,基座模块223可以包括用于将衬底13保持在基座模块223的表面上的静电卡盘、机械卡盘或真空卡盘。基座模块223可以与用于将衬底13加热至所需的温度的加热部件220耦合。通常,衬底13被保持在约25℃至500℃或更高的温度下,具体取决于待沉积的材料。
在某些实施方案中,系统控制器228被用于控制在沉积、后沉积处理和/或其它处理操作期间的处理条件。控制器228典型地将包括一个或多个存储器设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。
控制器228可以用于控制设备的所有的活动。系统控制器228执行包括用于控制以下处理条件的指令集的系统控制软件:处理操作的时序、低频发生器202和高频发生器204的操作的频率和功率、前体和惰性气体以及它们的相应混合物的流率和温度、加热部件220和喷头模块211的温度、室的压力、以及特定处理的其它参数。在一些实施方案中可以采用存储在与控制器相关联的存储器设备上的其它计算机程序。
典型地将有与控制器228相关联的用户界面。用户界面可以包括显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
非暂时性计算机机器可读介质可以包括用于装置的控制的程序指令。可以用任何常规的计算机可读编程语言(例如,汇编语言、C、C++、Pascal、Fortran或其它)编写用于控制处理操作的计算机程序代码。通过处理器执行编译目标代码或脚本以完成在程序中识别的任务。
控制器参数涉及处理条件,例如,处理步骤的时序、前体和惰性气体的流率和温度、晶片的温度、室的压力、以及特定处理的其它参数。这些参数被以配方的形式提供给用户,并且可以利用用户界面输入。
用于监控处理的信号可以由系统控制器的模拟和/或数字输入连接来提供。用于控制处理的信号通过装置的模拟和数字输出连接被输出。
可以以许多不同的方式设计或配置系统软件。例如,可以写入各种室部件子程序或控制对象以控制进行沉积处理所需的室部件的操作。用于此目的程序或程序段的示例包括衬底处理步骤的时序代码、前体和惰性气体的流率和温度代码、以及用于室的压力的代码。
图3更详细地示出了化学隔离室319的横截面,该化学隔离室319更具体地包括图1和2的基座模块223和喷头模块211,其中基座模块223和喷头模块211形成腔318,半导体衬底在腔318中被处理。腔318是在喷头模块211和基座模块223之间形成的微体积,其中喷头模块211的陶瓷面板301的下表面形成腔318的上壁和侧壁,并且基座模块223的上表面形成腔318的下壁。包括双室密封的沉积装置的示例性实施方案可以在共同转让的美国专利No.7,737,035中找到,该专利的全部内容通过引用结合于此。
基座模块223包括底部RF电极317,并且衬底13位于基座模块223上。优选地,底部RF电极317接地。在处理期间,衬底13的顶部与喷头模块211的底表面之间的距离优选为约5至16毫米。基座模块223包括加热器(参见图2),并且喷头模块211的面板211在处理期间由从基座模块223释放的热量加热,并且在处理期间通过在腔318中激励的等离子体加热。在一些实施方案中,室内的温度可以通过喷头模块211和基座模块223中的加热机构保持。优选地,衬底13位于等温环境中。通过将腔318的每个暴露表面保持在所需温度来形成等温处理区。等温处理区允许衬底13被均匀加热并保持在所需温度,从而在衬底13上不形成不希望的温度梯度。为了形成等温处理区,最小化从面板301到背板302的热损失以及从面板301到隔离环303的热损失。在一实施方案中,喷头模块211可以被加热到大于约250℃,和/或基座模块223可以被加热到大于约250℃到500℃或大于约500℃。在优选实施方案中,腔318的每个暴露表面由诸如氮化铝、氧化铝、氮化硅、碳化硅之类的陶瓷材料形成。
喷头模块211包括面板301,背板302和隔离环303,其中隔离环围绕面板301和背板302并支撑背板302。隔离环303优选地由诸如氧化铝(Al2O3)或氮化铝(AlN)之类的陶瓷材料形成,并且可以支撑在化学隔离室319的接地室壁322上。
背板302由金属材料形成。例如,背板302可以由铝合金(例如Al6061或不锈钢)形成,其中背板302可以包括陶瓷外涂层,例如氧化铝外涂层、氧化钇外涂层或聚合物涂层,具体取决于预期的应用。在优选的实施方案中,背板302是RF热的,即由RF源供电。在一实施方案中,背板302可以是冷却的背板。面板301优选地由陶瓷材料形成,并且在优选实施方案中,可以由氧化铝(Al2O3)或氮化铝(AlN)形成。面板301可以包括嵌入其中的RF电极310,其中嵌入的RF电极310优选地是网状电极。在面板301和背板302之间形成气体增压室308。优选地,面板301和背板302之间的气体增压室308的高度使得气体能够从增压室308顺畅地流到腔318,同时提供最小的增压室容积。优选地,增压室308的高度为约2mm至6mm。至少一个RF触头312电连接背板302和嵌入在面板301中的RF电极310。在一实施方案中,RF触头312可以是由具有至少一个弯曲的金属条制成的环形RF触头,其中RF触头312形成面板301和背板302之间的气体增压室308的外周边。优选地,RF触头312在面板301和背板302之间形成热扼流圈。具有嵌入式RF电极的陶瓷面板的示例可以在共同转让的美国专利No.9,441,296和共同转让的美国公开申请2016/0203952中找到。
图4示出了喷头模块211和衬底支撑件223的示例性实施方案,其形成等温处理区域,其中支撑元件309将喷头模块211的面板301附接到喷头模块的背板302。优选地,暴露于等温处理区的每个表面是陶瓷表面,其保持在所需温度。喷头模块211包括面板301,其中面板的下表面形成腔318的上壁,并且可选地,腔318的侧壁限定等温处理区、背板302和隔离环303,其中隔离环303围绕面板301和背板302。背板302可包括一个或多个气体入口305和一个或多个气体出口,并且面板301优选地包括多个通孔304,通孔304用于将工艺气体输送到等温处理区,将惰性气体输送到等温处理区,或从等温处理区移除工艺和/或惰性气体。至少一个压缩密封件可位于背板和面板之间,其中每个压缩密封件可形成至少一个气体增压室的边界。例如,两个压缩密封件可用于形成气体增压室,其中第一压缩密封件是被压缩在面板301和背板302之间的第一环形杆密封件306a,并且其中第一环形杆密封件306a形成内部气体增压室308a。内部气体增压室308a可以与背板302的一个或多个气体入口305和面板301的多个通孔304流体连通,使得工艺气体可以通过其进入限定等温处理区的腔318。第二压缩密封件可以是第二环形杆密封件306b,其围绕第一环形杆密封件306a并被压缩在面板301和背板302之间,其中第二环形杆密封件306a形成围绕内部气体增压室308a的中间增压室308b。中间增压室308b可以与背板302的一个或多个气体出口315和面板301的多个通孔304流体连通,使得工艺气体可以通过真空源从腔318中的等温处理区移除。在一实施方案中,外部气体增压室308c围绕中间增压室308b。优选地,外部气体增压室308c可以将惰性气体输送到面板301和隔离环303之间的间隙,间隙与腔318中的等温处理区流体连通,并且其中隔离环303形成外部气体增压室308c的外周。
面板可以包括用于从腔318中去除气体的出口。例如,面板的完全在晶片上延伸的中心区域可以包括同心的气体入口和气体出口,以输送工艺气体并从等温处理区中抽出反应的气体。在共同转让的美国专利No.5,614,026中公开了同心的入口和出口的合适布置,该专利的公开内容通过引用并入于此。
通过陶瓷表面界定的处理区
处理区优选地由如上所述的陶瓷表面界定,其中喷头模块211和衬底支撑件223形成等温处理区(微体积),其中暴露于等温处理区的每个表面是保持在所需温度的陶瓷表面。在一实施方案中,喷头模块211包括面板301、背板302和隔离环303,其中面板的下表面形成腔318的上壁,并且可选地,腔318的侧壁限定等温处理区,其中隔离环303围绕面板301和背板302。背板302可包括一个或多个气体入口和一个或多个气体出口,并且面板301优选地包括多个通孔304,通孔304用于将工艺气体输送到等温处理区,将惰性气体输送到等温处理区,或者从等温处理区移除工艺和/或惰性气体。
室清洁工艺
室清洁过程可以如共同转让的美国公开申请2014/0053867中所述进行,其公开内容通过引用结合于此。清洁方法可包括一个或多个阶段。例如,将清洁混合物引入沉积室、将沉积室的内表面暴露于清洁混合物以及使残余物与清洁混合物反应可在第一阶段期间在至少约0.6托,并且在第二阶段不超过约0.6托的室压下进行。第一阶段期间的等离子体能量可以小于第二阶段期间的等离子体能量。在某些实施方案中,等离子体能量在第一阶段期间以约1000W至2000W输送,并且在第二阶段期间以约2000W至3000W输送。在清洁试剂包含含氧化合物和含氟化合物的实施方案中,含氧化合物的流速在第一阶段期间可以是含氟化合物的流速的至少10倍,并且在第二阶段期间为至少3倍。含氟化合物可以是NF3
ALD底涂层
可以如共同转让的美国公开申请2015/0147482中所述沉积底涂层,其公开内容通过引用结合到本文中。底涂层定义为在反应室中处理衬底之前在反应室的内表面上形成的膜层。底涂层不同于在正常沉积工艺期间发生的膜累积,其中材料沉积在衬底上。与在衬底上沉积期间发生的累积相反,通常在反应室中不存在任何衬底时沉积底涂层。此外,底涂层可以直接沉积在陶瓷室表面上(例如,在氮化铝或氧化铝上),而在衬底上沉积期间沉积的膜通常不与这些表面接触,前提是膜沉积在先前形成的底涂层的顶部上。衬底是可以插入反应室并从反应室移除的固体材料块,其不是反应室的部件,在其上沉积膜,并且通常需要在该膜上进行沉积。在半导体器件制造的背景下,半导体晶片(在其上沉积或不沉积膜)是典型的衬底。在许多情况下,衬底是盘形的并且具有例如200mm、300mm或450mm的直径。衬底通常经过多轮处理以成为半导体器件。然而,某些其他衬底不用于成为功能完备的器件。这些衬底可以称为伪晶片,并且它们可以用作用于评估沉积工艺的测试载体或者用作例如用于平衡反应室的牺牲衬底。当沉积底涂层时,无衬底的室使得底涂层能均匀地涂覆陶瓷室表面。
底涂层也不同于在某些测试循环期间可沉积在室表面上的层,例如在测试循环期间,在不存在任何衬底的情况下沉积工艺进行相对少量的循环。一个不同之处在于底涂层形成工艺重复多次以形成具有所需最小厚度的薄膜。该最小厚度对于确保底涂层能够根据需要起作用(例如,使剥落和颗粒形成最小化,并使均匀性最大化)是重要的。
在典型的处理方案中,反应室在操作开始时是“清洁的”,即在室表面上不存在底涂层或其它非永久性沉积膜。在底涂层沉积工艺中,不含卤化物的硅前体(如硅烷)和氮前体(如氧化亚氮)可同时流入反应室。在反应室中点燃等离子体,驱动硅烷和氧气之间的气相反应,以形成ALD氧化硅。在一些情况下,等离子体由RF等离子体发生器产生,并且用于驱动发生器的RF功率可以是约1000W至3000W的量级(在四个站之间分配,沉积在300mm直径的衬底上)。反应室表面涂有底涂层膜。优选地,仅微体积陶瓷表面涂覆有底涂层,即基座和喷头表面包围微体积。可以多次吹扫/冲洗反应室以除去任何不需要的反应物。吹扫可包括不含有待从室移除的物质的流动气体。替代地或附加地,吹扫可包括抽空反应室。
预涂层
用于在基底上沉积膜的反应物可以流入反应室中,以在任何衬底存在于反应室中之前预涂覆室表面。该预涂层通过将它们暴露于在沉积期间使用的反应物中来帮助填充气体输送硬件(例如,液体化学注射器、气体输送管线等)和装置的其他部分。预涂层可以用不含卤化物或含卤化物的反应物沉积。例如,当在半导体衬底上沉积氮化硅时,可以使用相同的反应物沉积预涂层。含有卤化物的反应物优选用于沉积ALD氮化硅膜,因为所述膜可以比不含卤化物的反应物的沉积速率更高的速率沉积。
半导体衬底上的氮化硅ALD
在将预涂层沉积在底涂层上之后,可以在反应室中顺序处理一系列基材。当膜沉积在衬底上时,膜也沉积在反应室的内表面上。该膜在处理许多衬底的过程中积累,最终开始剥离或剥落。然后,在处理衬底之后,反应室经历原位清洁工艺以去除沉积的膜和底涂层。该清洁工艺通常当反应室中不存在底物时进行。优选地,室清洁工艺可以包括引入气相物质,例如含氟化合物,其与沉积的膜反应以形成可以从室中清除的挥发性产物。然后可以在新清洁的室上重复该处理方案。
含硅前体
所公开的实施方案在衬底上沉积氮化硅膜之前使用不含卤化物的氧化硅ALD底涂层。使用不含卤素的反应物沉积氧化硅ALD底涂层。任何适当的不含卤化物的含硅反应物和氧化剂可用于沉积氧化硅底涂层。含硅前体可包括例如硅烷或氨基硅烷。硅烷含有氢和/或碳基团,但不含卤素。硅烷的示例是硅烷(SiH4),乙硅烷(Si2H6)和有机硅烷,如甲基硅烷,乙基硅烷,异丙基硅烷,叔丁基硅烷,二甲基硅烷,二乙基硅烷,二叔丁基硅烷,烯丙基硅烷,仲-丁基硅烷,叔己基硅烷(thexylsilane),异戊基硅烷(isoamylsilane),叔丁基二硅烷,二叔丁基二硅烷以及类似物。氨基硅烷包括至少一个与硅原子键合的氮原子,但也可含有氢、氧和碳。氨基硅烷的示例是单-,二-,三-和四-氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及经取代的单-,二-,三-和四-氨基硅烷,例如,叔丁基氨基硅烷,甲基氨基硅烷,叔丁基硅烷胺,双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS),甲硅烷基氨基甲酸叔丁酯,SiH(CH3)-(N(CH3)2)2,SiHCl-(N(CH3)2)2,(Si(CH3)2NH)3以及类似物。氨基硅烷的进一步的示例是三甲硅烷基胺(N(SiH3)3)。
氮化硅膜
使用含硅膜前体经由ALD沉积氮化硅膜,所述含硅膜前体是可用于形成氮化硅膜的含硅的化合物和/或试剂。含硅膜前体优选为卤代硅烷,例如碘硅烷、溴硅烷、氯硅烷或氟硅烷。例如,氯硅烷可以是一氯硅烷、二氯硅烷、四氯硅烷或六氯二硅烷,碘硅烷可以是二碘硅烷、三碘硅烷或四碘硅烷,并且溴硅烷可以是四溴硅烷。
可以如共同转让的美国专利No.8,592,328、No.8,728,956、No.9,070,555和No.9,214,333以及美国公开申请No.2016/0148800和No.2016/0148806中所述沉积氮化硅膜,其公开内容通过引用结合于此。例如,氮化硅膜可以通过含氮反应物和/或含氮反应物混合物中的一种或多种与含硅反应物的反应形成。含硅反应物的示例包括但不限于双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2或BTBAS)、二氯硅烷(SiH2Cl2)和氯硅烷(SiH3Cl)。含氮反应物的示例包括但不限于氨、氮和叔丁胺((CH3)3CNH2或叔丁基胺)。含氮反应物混合物的示例包括但不限于氮和氢的混合物。替代地,可以使用卤硅烷沉积氮化硅膜,卤硅烷如选自四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、氯乙烯基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷,叔己基二甲基氯硅烷以及类似物的卤硅烷。
氮化硅优选通过ALD沉积,更优选地通过PEALD沉积,其中含硅前体被吸附到支撑在多个站中的一个站的基座上的半导体衬底的暴露表面上,含硅前体被从该站清除,将氮等离子体暴露于半导体衬底上的吸附的含硅前体,并且从该站清除等离子体。这些站可以位于真空室主体中,在所有站中维持低压环境
可以原位形成氮等离子体,在这种情况下,通过在微体积内点燃氮气使其成为等离子体状态而在衬底上方直接形成氮等离子体,或者可以远程形成氮等离子体,在这种情况下氮气在站上游的远程等离子发生器中点燃。等离子体能量将氮气激励成离子和自由基,离子和自由基与吸附的含Si前体层反应,从而形成硅-氮键和氮化硅薄膜。吹扫步骤可以通过停止含硅前体和氮气的流动并将载气输送到微体积中来进行。载气可以在整个ALD工艺期间连续供应,或者在吹扫步骤期间间歇供应。ALD工艺的每个沉积循环可以沉积厚度为约0.05埃至约3埃的氮化硅膜。例如,使用乙硅烷在约250℃下的沉积可以每循环沉积约0.5埃。
在一示例中,可以通过重复以下循环来沉积氮化硅:将双(二乙基)氨基硅烷与Ar载气输送到站,吹扫,点燃氮等离子体,吹扫,以便每个循环形成约0.5埃厚的氮化硅膜。在另一示例中,氮化硅可以通过重复以下循环来沉积:将三甲硅烷基胺与Ar载气输送到站,吹扫,点燃氮或氨等离子体,吹扫,以便每个循环形成厚度约为0.5的氮化硅膜。
作为氮化硅膜的替代品,半导体衬底可以涂覆有其他膜材料,例如导电和半导电材料。例如,膜可以是氮化物、氧化物、碳化物、硼化物或其他所需的膜材料,包括但不限于氧化硅、氮氧化物、掺杂氧化物、掺杂硅酸盐玻璃、未掺杂硅酸盐玻璃(USG),如硼掺杂硅酸盐玻璃(BSG)、经磷掺杂的硅酸盐玻璃(PSG)和经硼磷掺杂硅酸盐玻璃(BPSG)。
实施例
下表列出了底涂膜组合物、沉积模式、气体化学品、共形性、厚度和沉积膜的时间的示例。
图5显示了对于8种室条件(A-H),Al与ALD SiN积累的表面浓度关系,其中使用二氯硅烷和NH3在475℃下沉积ALD氮化硅。曲线A示出了在未清洁且没有底涂层的室表面上积累约500埃的ALD氮化硅膜之后,表面污染物下降到期望的规格限度内。曲线B示出了对于已经在475℃下经受40分钟RF清洁并且没有底涂层的室,表面污染高于规格限制。曲线C表明,在250℃下进行40分钟RF清洁,然后沉积1000埃非卤化物ALD氧化硅底涂层后,表面污染在规格限度内。曲线D示出了在250℃下进行7.5分钟RF清洁,然后沉积1000埃非卤化物ALD氧化硅底涂层后,表面污染在规格限制内。曲线E示出了在400℃下进行7.5分钟RF清洁,然后沉积1000埃非卤化物ALD氧化硅底涂层之后,表面污染在规格限制内。曲线F表示在400℃下进行7.5分钟RF清洁,然后沉积5微米PECVD氧化硅底涂层之后,表面污染高于规格限制。曲线G表示在400℃下进行7.5分钟RF清洁,然后沉积1000埃卤化物(二氯硅烷和N2O)ALD氧化硅底涂层之后,表面污染高于规格限制。曲线H表示在400℃下进行7.5分钟RF清洁,然后沉积1000埃卤化物(二氯硅烷和NH3)ALD氧化硅底涂层直至氮化硅累积达到约1000埃之后,表面污染高于规格限制。如曲线C、D和E所示,与1000埃厚的基于卤化物的ALD氧化物底涂层(曲线G)、厚度为5微米的PECVD氧化物底涂层(曲线F)或1000埃厚的卤化物基氮化硅底涂层(曲线H)相比,使用1000埃厚的非卤化物基ALD氧化物底涂层使得表面污染显著减少。
如本文所使用的,术语“约”当与数值结合使用时意指包括所述数值的±10%的公差。
虽然已经参考其具体实施方案详细描述了调节室和沉积ALD氮化硅膜的各个方面,但是对于本领域技术人员来说显而易见的是,可以在不脱离所附权利要求的范围的情况下,进行各种改变和修改,并且使用等同物。

Claims (20)

1.一种在等离子体增强原子层沉积(PEALD)反应室的微体积中处理的半导体衬底上沉积氮化硅膜的方法,其中单个半导体衬底被支撑在基座的陶瓷表面上并且将工艺气体通过喷头的陶瓷表面中的气体出口引入所述半导体衬底上方的反应区中,所述方法包括:
(a)用氟等离子体清洁所述基座和所述喷头的所述陶瓷表面;
(b)在所述陶瓷表面上沉积不含卤化物的原子层沉积(ALD)氧化物底涂层;
(c)在所述不含卤化物的ALD氧化物底涂层上沉积ALD氮化硅预涂层;以及
(d)通过将每个半导体衬底转移到所述反应室中并在被支撑在所述基座的所述陶瓷表面上的所述半导体衬底上沉积ALD氮化硅膜来处理成批的半导体衬底。
2.根据权利要求1所述的方法,其中步骤(b)通过以下方式来进行:(i)将不含卤素的含硅第一反应物的气相流引入所述反应室并使所述第一反应物能吸附到所述反应室的所述内部表面上;(ii)在使所述第一反应物吸附在所述反应室的所述内表面上时,将含氧的第二反应物的气相流引入所述反应室;以及(iii)当所述第一反应物和所述第二反应物中的至少一种的流动停止时,将所述反应室暴露于等离子体,以驱动在所述反应室的所述内表面上的在所述第一反应物和所述第二反应物之间的反应,以形成所述底涂层,其中所述底涂层共形涂覆所述反应室的所述内表面;其中操作(i)-(iii)在所述反应室中不存在衬底时发生,并且其中重复操作(i)-(iii)直至所述底涂层至少约500埃厚。
3.根据权利要求1所述的方法,其中所述喷头和所述基座的所述陶瓷表面是氮化铝,步骤(a)在低于400℃的温度下对所述基座和所述喷头进行,并且步骤(c)在高于400℃的温度下对所述基座和所述喷头进行。
4.根据权利要求1所述的方法,其中所述底涂层沉积到至少500埃的厚度。
5.根据权利要求1所述的方法,其中步骤(c)在约475℃至约635℃的温度下对所述基座和所述喷头进行。
6.根据权利要求1所述的方法,其中步骤(a)在低于300℃的温度下对所述基座和所述喷头进行。
7.根据权利要求1所述的方法,其中步骤(c)通过以下方式进行:(i)将卤代硅烷、碘硅烷或溴硅烷第一反应物的气相流引入所述反应室并使所述第一反应物能吸附到所述反应室的所述内部表面上;(ii)在使所述第一反应物吸附在所述反应室的所述内表面上时,将含氮的第二反应物的气相流引入所述反应室;以及(iii)当所述第一反应物和所述第二反应物中的至少一种的流动停止时,将所述反应室暴露于等离子体,以驱动在所述反应室的所述内表面上的在所述第一反应物和所述第二反应物之间的反应,以形成所述底涂层,其中所述预涂层共形涂覆所述反应室的所述内表面;其中操作(i)-(iii)在所述反应室中不存在衬底时发生,并且其中重复操作(i)-(iii)直至所述底涂层至少约500埃厚。
8.根据权利要求1所述的方法,其中步骤(d)通过以下方式进行:(i)将卤代硅烷、碘硅烷或溴硅烷第一反应物的气相流引入所述反应室并使所述第一反应物能吸附到所述反应室内的半导体衬底的暴露表面上;(ii)在使所述第一反应物吸附在所述半导体衬底的所述暴露表面上时,将含氮的第二反应物的气相流引入所述反应室;以及(iii)当所述第一反应物和所述第二反应物中的至少一种的流动停止时,将所述反应室暴露于等离子体,以驱动在所述反应室内的所述半导体衬底的所述暴露表面上的在所述第一反应物和所述第二反应物之间的反应,以形成所述氮化硅膜。
9.根据权利要求7所述的方法,其中所述卤代硅烷选自单氯硅烷、二氯硅烷、四氯硅烷和六二氯硅烷,所述碘硅烷选自二碘硅烷、三碘硅烷和四碘硅烷,并且所述溴硅烷是四溴硅烷。
10.根据权利要求8所述的方法,其中所述卤代硅烷选自单氯硅烷、二氯硅烷、四氯硅烷和六二氯硅烷,所述碘硅烷选自二碘硅烷、三碘硅烷和四碘硅烷,并且所述溴硅烷是四溴硅烷。
11.根据权利要求1所述的方法,其中步骤(a)是利用使用远程电感耦合等离子体发生器在所述微体积上游被激励成等离子体状态的NF3进行的。
12.根据权利要求1所述的方法,其中步骤(a)通过使NF3流入所述微体积并且通过将RF功率施加到所述喷头中的嵌入式RF电极而在所述微体积内将所述NF3激励成等离子体状态来执行。
13.根据权利要求1所述的方法,其中步骤(b)通过以下方式进行:(i)使SiH4流入所述反应室并使所述SiH4能吸附在所述内表面上,(ii)使O2流入所述反应室并使所述O2能吸附在所述内表面上,(iii)当所述SiH4和O2中的至少一种的流动停止时,将所述内表面暴露于等离子体,以驱动所述SiH4和O2之间的反应并且形成单层ALD氧化硅底涂层。
14.根据权利要求1所述的方法,其中所述PEALD反应室是具有四个微体积的多站式反应器,所述方法包括在所述微体积中的每个内进行步骤(a)-(d)。
15.根据权利要求1所述的方法,其中步骤(a)包括持续5至10分钟的RF清洁,并且步骤(b)包括沉积厚度为500至2000埃的非卤化物ALD氧化物底涂层。
16.一种在等离子体增强原子层沉积(PEALD)反应室的微体积中处理的半导体衬底上沉积膜的方法,其中单个半导体衬底被支撑在基座的陶瓷表面上并且工艺气体通过喷头的陶瓷表面中的气体出口引入所述半导体衬底上方的反应区,所述方法包括:
(a)用氟等离子体清洁所述基座和所述喷头的所述陶瓷表面,以便在所述陶瓷表面上形成富含铝的副产物;
(b)在所述陶瓷表面上沉积共形的不含卤化物的原子层沉积(ALD)氧化物底涂层,以覆盖所述富含铝的副产物;
(c)在所述不含卤化物的ALD氧化物底涂层上沉积预涂层;以及
(d)通过将每个半导体衬底转移到所述反应室中并在被支撑在所述基座的所述陶瓷表面上的所述半导体衬底上沉积膜来处理成批的半导体衬底。
17.根据权利要求16所述的方法,其中步骤(b)通过以下方式来进行:(i)将不含卤素的含硅第一反应物的气相流引入所述反应室并且使所述第一反应物能吸附到所述反应室的所述内部表面上;(ii)在使所述第一反应物吸附在所述反应室的所述内表面上时,将含氧的第二反应物的气相流引入所述反应室;以及(iii)当所述第一反应物和所述第二反应物中的至少一种的流动停止时,将所述反应室暴露于等离子体,以便驱动在所述反应室的所述内表面上的在所述第一反应物和所述第二反应物之间的反应,以形成所述底涂层,其中所述底涂层共形涂覆所述反应室的所述内表面;其中操作(i)-(iii)在所述反应室中不存在衬底时发生,并且其中重复操作(i)-(iii)直至所述底涂层为至少约500埃厚。
18.根据权利要求16所述的方法,其中所述喷头和所述基座的所述陶瓷表面是氮化铝,步骤(a)在低于400℃的温度下对所述基座和所述喷头进行,并且步骤(c)在高于400℃的温度下对所述基座和所述喷头进行。
19.根据权利要求16所述的方法,其中所述底涂层沉积到至少500埃的厚度,步骤(c)在约475℃至约635℃的温度下对所述基座和所述喷头进行,并且步骤(a)在低于300℃的温度下对所述基座和所述喷头进行。
20.根据权利要求16所述的方法,其中步骤(a)是利用使用远程电感耦合等离子体发生器在所述微体积上游被激励成等离子体状态的NF3进行的,或者通过使NF3流入所述微体积并且通过将RF功率施加到所述喷头中的嵌入式RF电极而在所述微体积内将所述NF3激励成等离子体状态来执行。
CN201780062174.2A 2016-10-06 2017-09-19 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法 Pending CN109891550A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310929608.1A CN117210798A (zh) 2016-10-06 2017-09-19 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/287,176 US9824884B1 (en) 2016-10-06 2016-10-06 Method for depositing metals free ald silicon nitride films using halide-based precursors
US15/287,176 2016-10-06
PCT/US2017/052138 WO2018067299A1 (en) 2016-10-06 2017-09-19 Method for depositing metals free ald silicon nitride films using halide-based precursors

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310929608.1A Division CN117210798A (zh) 2016-10-06 2017-09-19 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法

Publications (1)

Publication Number Publication Date
CN109891550A true CN109891550A (zh) 2019-06-14

Family

ID=60303244

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780062174.2A Pending CN109891550A (zh) 2016-10-06 2017-09-19 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法
CN202310929608.1A Pending CN117210798A (zh) 2016-10-06 2017-09-19 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310929608.1A Pending CN117210798A (zh) 2016-10-06 2017-09-19 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法

Country Status (5)

Country Link
US (2) US9824884B1 (zh)
KR (2) KR102470170B1 (zh)
CN (2) CN109891550A (zh)
TW (1) TW201827637A (zh)
WO (1) WO2018067299A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111364017A (zh) * 2020-04-20 2020-07-03 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途
CN112117176A (zh) * 2019-06-20 2020-12-22 中微半导体设备(上海)股份有限公司 等离子体处理设备及其包含等离子体处理设备的等离子体处理系统
CN113136561A (zh) * 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 沉积氮化硅层的方法、该方法形成的结构以及执行系统
CN114729452A (zh) * 2019-09-25 2022-07-08 Beneq有限公司 用于处理半导体基材的表面的方法和设备

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020178973A1 (ja) * 2019-03-05 2020-09-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023133075A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Silicon nitride deposition
KR20230147306A (ko) 2022-04-14 2023-10-23 (주)디엔에프 금속 규화물 캡핑층이 형성된 펠리클의 제조방법 및 이로부터 제조된 펠리클

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20070204797A1 (en) * 2006-03-03 2007-09-06 Andreas Fischer Methods and apparatus for selective pre-coating of a plasma processing chamber
US20150147482A1 (en) * 2013-11-25 2015-05-28 Lam Research Corporation Chamber undercoat preparation method for low temperature ald films
US20150203967A1 (en) * 2014-01-17 2015-07-23 Lam Research Corporation Method and apparatus for the reduction of defectivity in vapor deposited films

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7601648B2 (en) * 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7858510B1 (en) * 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20120180810A1 (en) 2009-07-26 2012-07-19 Leybold Optics Gmbh Cleaning of a process chamber
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8647904B2 (en) * 2010-03-01 2014-02-11 Sharp Kabushiki Kaisha Method for manufacturing nitride semiconductor device, nitride semiconductor light-emitting device, and light-emitting apparatus
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
JP2012216696A (ja) * 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
KR102037869B1 (ko) * 2013-02-08 2019-10-29 삼성전자주식회사 Sti를 가진 반도체 소자 제조 방법
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543140B2 (en) * 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US20160056032A1 (en) 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9601693B1 (en) * 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9824941B2 (en) * 2015-11-17 2017-11-21 Lam Research Corporation Systems and methods for detection of plasma instability by electrical measurement
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20070204797A1 (en) * 2006-03-03 2007-09-06 Andreas Fischer Methods and apparatus for selective pre-coating of a plasma processing chamber
US20150147482A1 (en) * 2013-11-25 2015-05-28 Lam Research Corporation Chamber undercoat preparation method for low temperature ald films
US20150203967A1 (en) * 2014-01-17 2015-07-23 Lam Research Corporation Method and apparatus for the reduction of defectivity in vapor deposited films

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112117176A (zh) * 2019-06-20 2020-12-22 中微半导体设备(上海)股份有限公司 等离子体处理设备及其包含等离子体处理设备的等离子体处理系统
CN112117176B (zh) * 2019-06-20 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理设备及等离子体处理系统
CN114729452A (zh) * 2019-09-25 2022-07-08 Beneq有限公司 用于处理半导体基材的表面的方法和设备
CN113136561A (zh) * 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 沉积氮化硅层的方法、该方法形成的结构以及执行系统
CN111364017A (zh) * 2020-04-20 2020-07-03 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途
CN111364017B (zh) * 2020-04-20 2022-04-22 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途

Also Published As

Publication number Publication date
KR102470170B1 (ko) 2022-11-22
KR20190052154A (ko) 2019-05-15
CN117210798A (zh) 2023-12-12
KR20220159488A (ko) 2022-12-02
WO2018067299A1 (en) 2018-04-12
US10020188B2 (en) 2018-07-10
TW201827637A (zh) 2018-08-01
US9824884B1 (en) 2017-11-21
US20180102245A1 (en) 2018-04-12

Similar Documents

Publication Publication Date Title
CN109891550A (zh) 使用基于卤化物的前体沉积无金属ald氮化硅膜的方法
JP7386270B2 (ja) 断続的な再修復プラズマを用い、aldによる酸化ケイ素表面コーティングを使用したラジカル再結合の最少化
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
CN104651807B (zh) 用于低温ald 膜的室底涂层制备方法
TW201920738A (zh) 介電膜之幾何性選擇沉積
US9552982B2 (en) Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
KR20180013745A (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR20130085900A (ko) 무-염소 등각 질화 규소 필름 증착 방법
TW202218048A (zh) 減少半導體裝置中的層內電容
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積
KR20220139376A (ko) 실리콘-함유 막들의 고온 증착을 위한 전구체들
KR20210061453A (ko) 박막 증착을 위한 플라즈마 강화 웨이퍼 소킹

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination