KR20190075783A - 플라스마 처리 장치 및 플라스마 처리 방법 - Google Patents

플라스마 처리 장치 및 플라스마 처리 방법 Download PDF

Info

Publication number
KR20190075783A
KR20190075783A KR1020180091059A KR20180091059A KR20190075783A KR 20190075783 A KR20190075783 A KR 20190075783A KR 1020180091059 A KR1020180091059 A KR 1020180091059A KR 20180091059 A KR20180091059 A KR 20180091059A KR 20190075783 A KR20190075783 A KR 20190075783A
Authority
KR
South Korea
Prior art keywords
plasma
ring
frequency power
electrode
disposed
Prior art date
Application number
KR1020180091059A
Other languages
English (en)
Other versions
KR102092096B1 (ko
Inventor
도오루 아라마키
게네츠 요코가와
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20190075783A publication Critical patent/KR20190075783A/ko
Application granted granted Critical
Publication of KR102092096B1 publication Critical patent/KR102092096B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 플라스마 처리 장치의 신뢰성을 향상시켜, 플라스마 처리에 있어서의 수율을 향상시키는 것을 과제로 한다.
이러한 과제를 해결하기 위한 수단으로서, 플라스마 에칭 장치(100)는, 시료대의 표면을 덮는 서셉터 링(113)과, 서셉터 링(113)의 내부에 배치되며, 또한 제2 고주파 전원으로부터의 제2 고주파 전력이 공급되는 도체 링(131)과, 도체 링(131)에 상기 제2 고주파 전력을 공급하는 경로가 구성되는 급전 커넥터(161)를 갖는다. 또한, 급전 커넥터(161)는, 상기 시료대의 관통 구멍(120c) 내에 배치된 절연 보스(144)의 내부에 배치되며, 또한 상부 단자(143) 및 하부 단자(145)에 접속되어 상하 방향(P)으로 가압되어 신축하는 탄성을 가진 판 스프링(135)을 구비하고 있다.

Description

플라스마 처리 장치 및 플라스마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은 플라스마 처리 장치 및 플라스마 처리 방법에 관한 것으로, 특히 반도체 기판 등의 피처리재의 가공에 호적(好適)한 플라스마 처리 장치 및 플라스마 처리 방법에 관한 것이다.
반도체 제조 공정에서는, 일반적으로 플라스마를 이용한 드라이 에칭이 행해지고 있다. 드라이 에칭을 행하기 위한 플라스마 처리 장치는 다양한 방식이 사용되고 있다.
일반적으로, 플라스마 처리 장치는, 진공 처리실, 이에 접속된 가스 공급 장치, 진공 처리실 내의 압력을 원하는 값으로 유지하는 진공 배기계, 피처리재인 웨이퍼를 재치(載置)하는 전극, 진공 처리실 내에 플라스마를 발생시키기 위한 플라스마 발생 수단 등으로 구성되어 있다. 플라스마 발생 수단에 의해 샤워 플레이트 등으로부터 진공 처리실 내에 공급된 처리 가스를 플라스마 상태로 함으로써, 웨이퍼 재치용 전극에 유지된 웨이퍼의 에칭 처리가 행해진다.
최근, 반도체 디바이스의 집적도의 향상에 수반하여, 미세 가공 즉 가공 정밀도의 향상이 요구됨과 함께, 반도체 디바이스의 회로 구조도 보다 미세해지고, 반도체 디바이스의 회로가 그 위에 형성되는 반도체 웨이퍼 등의 기판의 보다 외주연부(外周緣部)까지 성능이 좋은 반도체 디바이스를 수율 좋게 제조할 수 있도록 요구되고 있다. 즉, 플라스마 처리 장치가 실시하는 처리가 반도체 디바이스의 성능을 악화시켜 버리는 것에 이르지 않도록 기판의 외주연부의 영역의 크기를 보다 작게 하는 것이 요구되고 있다. 이러한 기판의 외주측 부분에서의 성능의 악화를 억제하기 위해, 기판이 놓인 시료대의 상면의 기판의 외주측의 영역에 있어서 전계(電界)의 집중을 저감한다. 그리고, 기판의 상면의 외주측 부분에 있어서의 처리의 특성, 예를 들면 에칭 처리의 경우에는 처리의 속도(에칭 레이트)가 급격하게 증대해 버리는 것을 억제할 필요가 있다. 이것을 달성하기 위해, 기판의 처리 중에 기판의 상면의 위쪽에 형성되는 시스의 두께의 기판의 중심부부터 외주연까지의 변화가 억제되도록, 기판의 외주측에서 시료대의 상부의 상면을 덮어 배치된 유전체제의 서셉터 링을 포함하는 기판의 외주측 영역에서의 전계를 조절하는 것이 생각되어 왔다.
이러한 기술로서, 예를 들면, 일본국 특개2016-225376호 공보(특허문헌 1)에 개시된 것이 알려져 있다. 상기 특허문헌 1에서는, 반도체 웨이퍼 등의 기판 형상의 시료가 놓인 시료대 상부의 시료의 외주를 둘러싸서 배치된 절연체제의 링의 아래쪽에서 이에 덮이고, 상기 시료의 외주측에서 이를 둘러싸서 배치된 도전체제의 링에 소정의 주파수의 고주파 전력을 인가하여, 시료의 상면의 외주측 부분에서의 하전 입자의 진입 방향을 시료의 상면에 수직으로 근접시켜, 처리의 수율의 향상을 도모하는 기술이 개시되어 있다.
또한, 일본국 특개2011-009351호 공보(특허문헌 2)에는, 플라스마에 면하는 포커스 링에 바이어스 전위 형성용의 고주파 전력을 공급하고, 이에 따라 도전체의 상면의 위쪽에 형성된 바이어스 전위의 크기를 도전체의 플라스마에 의한 깎임이나 소모의 정도에 따라 조절함으로써 처리의 성능이 시간과 함께 변동해 버리는 것을 억제하는 기술이 개시되어 있다.
일본국 특개2016-225376호 공보 일본국 특개2011-009351호 공보
상기의 기술은, 다음 점에 대해서 고려가 불충분했기 때문에, 문제가 생기고 있다.
즉, 상기 특허문헌 1은, 도전성의 링에 고주파 전력이 공급되는 급전 라인상에서의 직렬 공진을 발생시킴으로써, 급전 라인의 임피던스를 낮춰 큰 전류를 흐르게 하는 작용을 발휘하지만, 큰 전류가 흐름으로써 급전 경로에서의 발열량이 커져 버리는 점에 대해서 고려되고 있지 않다. 특히, 큰 전류가 흘렀을 때에는, 시료대의 내부에서의 급전 경로상에 배치된 케이블의 커넥터 등의 경로를 구성하는 2개의 부재의 접속 부분에서 큰 발열이 생겨 상기 접속 부분을 손상시키거나 주위의 부재에 악영향을 미칠 우려가 있는 점에 대해서 고려되고 있지 않다.
또한, 특허문헌 2에 있어서도, 포커스 링이 플라스마에 면해 있기 때문에, 플라스마에 접촉함으로써 포커스 링에 대한 급전 라인으로부터의 고주파 전력의 전류가 플라스마에 흘러들어올 우려가 있어, 이때에는 특허문헌 1과 동일한 문제가 생겨 버린다. 상기 특허문헌 2의 기술에서는, 시료대의 내부의 급전 경로상의 접속 부분에 있어서 발열이 커져 급전 경로가 손상되어 장치의 신뢰성이 훼손되어 버리는 점이나, 시료대의 상면 또는 그 위에 놓이는 웨이퍼의 상면에, 발열로부터의 영향에 의한 온도의 불균일이 생긴 결과, 처리 후의 형상이 원하는 것으로부터 크게 어긋나 버리는 점에 대해서 고려되고 있지 않다.
본 발명의 목적은, 플라스마 처리 장치의 신뢰성을 향상시키며, 또한 플라스마 처리에 있어서의 수율을 향상시킬 수 있는 기술을 제공하는 것에 있다.
본 발명의 상기의 목적과 신규의 특징은, 본 명세서의 기술 및 첨부 도면으로부터 분명해질 것이다.
본원에 있어서 개시되는 실시형태 중, 대표적인 것의 개요를 간단하게 설명하면 다음과 같다.
일 실시형태에 있어서의 플라스마 처리 장치는, 플라스마가 형성되는 처리실을 내부에 구비한 진공 용기와, 상기 처리실 내의 하부에 배치되고, 처리 대상의 반도체 웨이퍼가 놓이는 재치면을 구비한 시료대와, 상기 처리실 내에 공급된 가스를 이용하여 플라스마 형성용의 전계를 형성하는 전계 형성부를 갖는다. 또한, 상기 시료대를 구성하고, 상기 플라스마가 형성되고 있는 동안에 제1 고주파 전원으로부터 제1 고주파 전력이 공급되는 제1 전극과, 상기 시료대의 상기 재치면의 외주부에 배치되어 상기 시료대의 표면을 덮고, 유전체로 이루어지는 링 형상 부재와, 상기 링 형상 부재의 내부에 배치되고, 제2 고주파 전원으로부터의 제2 고주파 전력이 공급되는 제2 전극을 갖는다. 또한, 상기 시료대의 상기 재치면을 구성하고, 정전기에 의한 반도체 웨이퍼 흡착용의 직류 전력이 내부에 공급되는 막 형상의 정전 흡착용 전극과, 상기 제2 전극에 상기 제2 고주파 전력을 공급하는 경로를 구성하는 급전 커넥터를 갖는다. 그리고, 상기 급전 커넥터는, 상기 시료대의 상기 링 형상 부재에 의해 덮이는 부분의 내부를 관통하는 관통 구멍 내에 배치된 절연성의 원통형 부재의 내부에 배치되며, 또한 그 상하단의 단자에 접속되어 상하 방향으로 가압되어 신축하는 탄성을 가진 도전 부재를 구비하고 있다.
또한, 일 실시형태에 있어서의 플라스마 처리 방법은, (a) 플라스마 처리가 행해지는 진공 용기 내에 마련된 시료대에 반도체 웨이퍼를 재치하는 공정과, (b) 상기 시료대상에 재치된 상기 반도체 웨이퍼상에 플라스마를 형성하고, 상기 플라스마를 형성하고 있는 동안, 제1 고주파 전원으로부터 상기 시료대에 제1 고주파 전력을 공급하여 상기 반도체 웨이퍼에 플라스마 처리를 행하는 공정을 갖는다. 또한, 상기 플라스마 처리 중에, 제2 고주파 전원으로부터 상기 시료대의 외주부의 상부에 배치된 전극에, 상기 시료대에 마련된 급전 커넥터를 통해 제2 고주파 전력을 공급한다. 또한, 상기 시료대의 링 형상 부재에 의해 덮이는 부분의 내부를 관통하는 관통 구멍 내에 배치된 절연체제의 원통형 부재와, 상기 원통형 부재의 내부에 배치되며, 또한 그 상하단의 단자에 접속되어 상하 방향으로 가압되어 신축하는 탄성을 가진 도전 부재를 구비한 상기 급전 커넥터를 통해 상기 제2 고주파 전력을 공급한다.
본원에 있어서 개시되는 발명 중, 대표적인 것에 의해 얻어지는 효과를 간단히 설명하면 이하와 같다.
플라스마 처리 장치의 신뢰성을 향상시켜, 플라스마 처리에 있어서의 수율을 향상시킬 수 있다.
도 1은 본 발명의 실시형태에 따른 플라스마 처리 장치의 구성의 개략을 모식적으로 나타내는 단면도.
도 2는 도 1에 나타내는 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도.
도 3은 도 2에 나타내는 판 스프링의 구성을 확대하여 모식적으로 나타내는 사시도.
도 4는 파셴의 법칙을 모식적으로 나타내는 그래프.
도 5는 도 2에 나타내는 시료대의 서셉터 링의 다른 부분의 구성을 확대하여 모식적으로 나타내는 단면도.
도 6은 본 발명의 실시형태의 변형예 1에 따른 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도.
도 7은 본 발명의 실시형태의 변형예 2에 따른 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도.
도 8은 도 7에 나타내는 곡면판 스프링의 구성을 확대하여 모식적으로 나타내는 사시도.
도 9는 본 발명의 실시형태의 변형예 3에 따른 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도.
본 발명의 실시형태를 도면을 이용하여 설명한다.
이하, 본 발명의 실시형태를 도 1∼도 5를 이용하여 설명한다. 도 1은, 본 발명의 실시형태에 따른 플라스마 처리 장치의 구성의 개략을 모식적으로 나타내는 단면도이다. 특히, 도 1은, 플라스마를 형성하기 위한 전계로서 마이크로파의 전계를 이용하여, 상기 마이크로파의 전계와 자계와의 ECR(Electron Cyclotron Resonance)을 발생시켜 플라스마를 형성하고, 상기 플라스마를 이용하여 반도체 웨이퍼 등의 기판 형상의 시료를 에칭 처리하는 플라스마 에칭 장치를 나타내고 있다.
도 1에 나타내는 플라스마 에칭 장치(플라스마 처리 장치)(100)에 대해서 설명한다. 플라스마 에칭 장치(100)는, 플라스마가 형성되는 처리실(104)을 내부에 구비한 진공 용기(101)를 갖고 있다. 진공 용기(101)는, 원통 형상을 가진 상부가 개방되어 있고, 그 상부에 마이크로파를 도입하기 위한 유전체창(103)(예를 들면 석영제)이 덮개 부재로서 배치되고, 내부와 외부가 기밀하게 구획된 처리실(104)이 형성되어 있다.
또한, 진공 용기(101)의 하부에는 진공 배기구(110)가 배치되고, 진공 용기(101)의 아래쪽에 배치되어 접속된 진공 배기 장치(도시 생략)와 연통되어 있다. 또한, 진공 용기(101)의 상부의 덮개 부재를 구성하는 유전체창(103)의 하면의 아래쪽에는, 처리실(104)의 천장면을 구성하는 샤워 플레이트(102)가 마련되어 있다. 샤워 플레이트(102)는, 중앙부에 배치된 복수의 가스 도입 구멍(102a)을 갖고 있고, 이 복수의 가스 도입 구멍(102a)을 통해 에칭 처리용의 가스가 처리실(104)에 도입된다. 샤워 플레이트(102)는, 예를 들면 석영 등의 유전체제의 원판이다.
또한, 진공 용기(101)의 외측의 위쪽의 개소에는 플라스마(116)를 생성하기 위한 전계 및 자계를 형성하는 전계·자계 형성부(160)가 배치되어 있다. 전계·자계 형성부(160)는, 이하의 구성을 포함하여 플라스마 에칭 장치(100)에 구비되어 있다. 즉, 전계·자계 형성부(160)에는, 유전체창(103)의 위쪽에 배치되며, 또한 플라스마(116)를 생성하기 위한 소정의 주파수의 고주파 전계를 처리실(104) 내에 공급하기 위해 전계가 내부를 전송하는 도파관(105)이 배치되어 있다. 또한, 도파관(105)의 내부를 전송하는 전계는, 전계 발생용 전원(106)에 있어서 발진되어 형성된다. 상기 전계의 주파수는, 특별히 한정되지 않지만, 본 실시형태에서는 2.45㎓의 마이크로파가 사용된다.
또한, 처리실(104)의 유전체창(103)의 위쪽 및 처리실(104)의 원통 형상부를 구성하는 진공 용기(101)의 측벽 및 도파관(105)의 하단부의 외주측의 각각에는, 자장을 형성하는 자장 발생 코일(107)이 이들을 둘러싼 상태로 배치되어 있다. 그리고, 전계 발생용 전원(106)으로부터 발진된 마이크로파의 전계는, 도파관(105)의 내부를 전파하여 유전체창(103) 및 샤워 플레이트(102)를 투과해서 처리실(104)에 위쪽으로부터 공급된다. 또한, 자장 발생 코일(107)이 발생시켜 처리실(104) 내에 공급된 자계와의 상호 작용에 의해, ECR(Electron Cyclotron Resonance)을 발생시킨다. 그리고, 샤워 플레이트(102)의 가스 도입 구멍(102a)을 통해 처리실(104) 내에 도입된 처리용의 가스의 원자 또는 분자를 여기, 해리시킴으로써, 처리실(104) 내에 고밀도의 플라스마(116)가 생성된다.
또한, 처리실(104)의 하부이며, 또한 플라스마(116)가 형성되는 공간의 아래쪽에는, 시료대를 구성하는 웨이퍼 재치용 전극(제1 전극)(120)이 마련되어 있다. 또한, 웨이퍼 재치용 전극(120)은, 시료(처리 대상)인 반도체 웨이퍼(이후, 단순히 웨이퍼라고도 함)(109)가 놓이는 재치면(120a)을 구비하고 있다. 그리고, 웨이퍼 재치용 전극(120)은, 그 재치면(120a)이, 샤워 플레이트(102) 또는 유전체창(103)에 대향하도록 배치되어 있다. 웨이퍼 재치용 전극(120)은, 도 2에 나타내는 바와 같이, 그 상면(120b)이, 재치면(120a)을 구성하는 유전체막(140)에 의해 피복되어 있다. 유전체막(140)의 내부에는, 도 1에 나타내는 고주파 필터(125)를 통해 직류 전원(126)과 접속된 정전 흡착용의 복수의 도전체막(정전 흡착용 전극)(111)이 배치되어 있다. 여기에서, 도전체막(111)은, 시료대의 재치면(120a)을 구성하고 있고, 정전기에 의한 반도체 웨이퍼 흡착용의 직류 전력이 내부에 공급되는 막 형상의 정전 흡착용 전극이다. 그때, 도전체막(111)은, 복수의 막 형상의 전극의 한쪽과 다른 쪽이 상이한 극성이 부여되는 쌍극이어도 되고, 또는 같은 극성이 부여되는 단극이어도 되지만, 본 실시형태에서는 단극으로서 나타나 있다.
또한, 고주파 필터(125)보다 정전 흡착용 전극(도전체막(111))에 가까운 개소에 고주파 전원(제1 고주파 전원)(124)과 정합기(129)가 배치되어 있고, 이들 고주파 전원(124)이나 정합기(129)는, 웨이퍼 재치용 전극(120)의 내부에 배치된 도전체제의 원형 또는 원통 형상을 가진 전극 기재(108)와 접속되어 있다. 또한, 고주파 전원(124)은, 접지(112)에 접속되어 있다. 그리고, 전극 기재(108)에 고주파 전원(124)으로부터의 소정의 주파수의 고주파 전력(제1 고주파 전력)이 공급되고, 웨이퍼(109)의 처리 중에, 웨이퍼 재치용 전극(120)의 상면상에 흡착되어 유지된 웨이퍼(109)의 위쪽에 바이어스 전위가 형성된다. 환언하면, 상기 시료대는, 플라스마(116)가 형성되고 있는 동안에 고주파 전원(124)으로부터 고주파 전력(제1 고주파 전력)이 공급되는 웨이퍼 재치용 전극(제1 전극)(120)을 갖고 있다.
또한, 이 전극 기재(108)에는, 온도 센서(도시 생략)나 웨이퍼(109)를 선단상에 유지하여 재치면(120a)의 위쪽으로 들어올리거나 또는 강하시키는 복수의 리프트 핀이 내장되는 관통 구멍이 마련되어 있다. 또한, 상기 리프트 핀의 위치를 검지하는 상하 센서(도시 생략) 등이 배치된다. 이 때문에, 웨이퍼 재치용 전극(120)의 이러한 검지기(센서)가 전기적 노이즈의 환경하에 있으면 오작동할 우려가 있다. 또한, 냉매 유로 내를 통류하여 순환하는 냉매도 전기적 노이즈의 환경하에서는 정전기를 띨 우려가 있다.
그래서, 전극 기재(108)를 도시하지 않은 어스 전극과 접속하여 접지 전위로 함과 함께, 전극 기재(108)의 표면의 유전체막(140) 중에 다른 도전체제의 막 형상의 전극을 배치하고, 이 막 형상의 전극을 정합 회로(129)를 통해 고주파 전원(124)과 접속하여 고주파 전력을 공급하고, 이에 따라, 웨이퍼(109)의 처리 중에 그 상면의 위쪽에 바이어스 전위를 형성하도록 해도 된다. 또한, 전극 기재(108)의 내부에는, 전달되는 열을 제거하여 웨이퍼 재치용 전극(120)을 냉각하기 위해, 전극 기재(108) 또는 웨이퍼 재치용 전극(120)의 상하 방향의 중심축 주위에 나선 형상 또는 동심 형상으로 다중(多重)으로 냉매 유로(153)가 배치되어 있다. 이 냉매 유로(153)에는, 전극 기재(108)를 냉각하는 냉각용의 냉매가 흐른다.
또한, 웨이퍼 재치용 전극(120)의 상부의 외주측에는, 재치면(120a)의 외주측에서 이 상부를 둘러싸서 배치된 오목부(120d)가 배치되어 있다. 이 오목부(120d)의 시료대의 재치면(120a)보다 높이가 낮게 형성된 링 형상의 상면에는, 석영 혹은 알루미나 등의 세라믹과 같은 유전체제의 링 형상 부재인 서셉터 링(113)이 놓여 배치되어 있다. 서셉터 링(113)의 상면이 오목부(120d)에 놓인 상태에서, 서셉터 링(113)의 상면은 웨이퍼 재치용 전극(120)의 재치면(120a)보다 높아지는 치수를 갖고 있다. 또한, 서셉터 링(113)은, 웨이퍼 재치용 전극(시료대)(120)의 재치면(120a)의 외주부에 배치되어 있으며, 또한 웨이퍼 재치용 전극(120)의 표면을 덮고 있다. 구체적으로는, 서셉터 링(113)은, 오목부(120d)의 상면 및 오목부(120d)의 원통형의 측벽면, 그리고 오목부(120d)의 아래쪽의 웨이퍼 재치용 전극(시료대)(120)의 원통형의 측벽면을 덮도록 구성되어 있다.
이러한 플라스마 에칭 장치(100)에서는, 진공 용기(101)의 측벽에 연결된 다른 진공 용기인 진공 반송 용기의 내부의 처리실(104)과 마찬가지인 압력까지 감압된 진공 반송실 내에 있어서, 처리 전의 웨이퍼(109)가, 진공 반송실 내에 배치된 웨이퍼 반송용의 로봇의 암 선단상에 놓인다. 그리고, 진공 반송실과 처리실(104) 사이를 연통하는 통로인 게이트가 진공 반송실 내에 배치된 밸브의 동작에 의해 개방되고, 상기 처리 전의 웨이퍼(109)는, 상기 로봇의 암 선단상에 놓인 상태에서 처리실(104) 내에 반송된다. 또한, 처리실(104) 내의 웨이퍼 재치용 전극(120)의 재치면(120a)의 위쪽까지 반송된 웨이퍼(109)는, 리프트 핀의 상하의 이동에 의해 상기 리프트 핀상에 전달되고, 또한 재치면상에 놓인 후, 직류 전원(126)으로부터 인가되는 직류 전력에 의해 형성된 정전기력에 의해 웨이퍼 재치용 전극(120)의 재치면(120a)에 흡착되어 유지된다.
이 상태에서, 에칭 처리용의 가스는, 매스플로우 컨트롤러(도시 생략)에 의해 그 유량 또는 속도가 조절되어 유전체창(103)과 석영제의 샤워 플레이트(102) 사이의 극간의 공간에 도입되고, 이 공간 내에서 확산한 후, 샤워 플레이트(102)의 가스 도입 구멍(102a)을 통해 처리실(104)에 도입된다. 그 후, 진공 배기 장치의 동작에 의해, 진공 배기구(110)를 통해 처리실(104) 내의 가스나 입자가 배기된다. 샤워 플레이트(102)의 가스 도입 구멍(102a)으로부터의 가스의 공급량과 진공 배기구(110)로부터의 배기량과의 밸런스에 따라, 처리실(104) 내가 웨이퍼(109)의 처리에 적합한 범위 내의 소정의 값으로 조정된다.
또한, 웨이퍼(109)가 흡착 유지되어 있는 동안, 웨이퍼(109)와 웨이퍼 재치용 전극(120)의 재치면(120a)인 유전체막(140)의 상면과의 사이의 극간에는, 유전체막(140)의 상면의 도시하지 않은 개구로부터 He(헬륨) 등의 열전달성을 가진 가스가 공급되고, 이에 따라 웨이퍼(109)와 웨이퍼 재치용 전극(120) 사이의 열전달이 촉진된다. 또한, 소정의 범위 내의 온도로 조절된 냉매가 웨이퍼 재치용 전극(120)의 전극 기재(108) 내에 배치된 냉매 유로(153) 내를 통류하여 순환함으로써, 웨이퍼 재치용 전극(120) 또는 전극 기재(108)의 온도는 웨이퍼(109)가 재치되기 전에 미리 조절되어 있다. 따라서, 열용량이 큰 웨이퍼 재치용 전극(120) 또는 전극 기재(108) 사이에서 열전달이 됨으로써, 처리 전에 웨이퍼(109)의 온도는 이들의 온도에 근접하도록 조절되고, 처리의 개시 후도 웨이퍼(109)로부터의 열이 전달되어 웨이퍼(109)의 온도가 조절된다.
이 상태에서, 처리실(104) 내에 마이크로파의 전계와 자계가 공급되어 가스를 이용하여 플라스마(116)가 생성된다. 플라스마(116)가 형성되면, 전극 기재(108)에 고주파 전원(124)으로부터 고주파(RF) 바이어스 전력이 공급되고, 웨이퍼(109)의 상면의 위쪽에 바이어스 전위가 형성되어 플라스마(116)의 전위와의 사이의 전위차에 따라 플라스마(116) 내의 이온 등의 하전 입자가 웨이퍼(109)의 상면으로 유인된다. 또한, 상기 하전 입자가, 웨이퍼(109)의 상면에 미리 배치된 마스크 및 처리 대상의 막층을 포함하는 막 구조의 상기 처리 대상의 막층 표면과 충돌하여 에칭 처리가 행해진다. 에칭 처리 중은, 처리실(104) 내에 도입된 처리용의 가스나 처리 중에 발생한 반응 생성물의 입자가 진공 배기구(110)로부터 배기된다.
그리고, 본 실시형태의 플라스마 에칭 장치(100)에서는, 플라스마 처리 중에 상기 시료대에 마련되며, 또한 탄성을 갖는 도전 부재를 구비한 후술하는 급전 커넥터(161)를 통해 고주파 전원(제2 고주파 전원)(127)으로부터 상기 시료대의 외주부의 상부에 배치된 도체 링(제2 전극)(131)에 제2 고주파 전력을 공급한다.
본 실시형태의 웨이퍼 재치용 전극(120)에서는, 고주파 전원(제2 고주파 전원)(127)으로부터 발생한 교류 고전압은, 부하의 정합기(128)와 부하 임피던스 가변 박스(130)를 통해 서셉터 링(113) 내에 배치된 도전체제의 도체 링(제2 전극)(131)에 도입된다. 이 구성에 의해, 호적한 임피던스의 값으로 조절된 부하 임피던스 가변 박스(130)와, 서셉터 링(113)의 상부에 배치된 상대적으로 높은 임피던스 부분의 조합으로, 고주파 전원(127)으로부터 전극 기재(108)를 통해 웨이퍼(109)의 외주연부까지의 고주파 전력에 대한 임피던스의 값을 상대적으로 낮게 한다. 이에 따라, 웨이퍼(109)의 외주측 부분 및 외주연부에 고주파 전력을 효과적으로 공급하고, 외주측 부분 또는 외주연부에서의 전계의 집중을 완화하여 플라스마 중의 이온 등의 하전 입자를 원하는 방향에서 웨이퍼(109) 상면으로 유인할 수 있다. 고주파 전원(127)은 접지(112)에 접속되어 있다. 또한, 본 실시형태에서의 고주파 전원(127)의 주파수는, 바람직하게는 고주파 전원(124)과 같거나 정수(定數)배의 값으로 설정된다.
다음으로, 도 2를 이용하여, 본 실시형태의 서셉터 링(113) 내의 도체 링(131)에의 급전 라인의 구성의 상세를 설명한다. 도 2는 도 1에 나타내는 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도, 도 3은 도 2에 나타내는 판 스프링의 구성을 확대하여 모식적으로 나타내는 사시도이다.
도 2에 나타내는 도체 링(131)은, 금속 등으로 이루어지는 도전체이지만, 그 표면에 절연성을 갖는 피막이 형성된 도전체로 구성된 부재이면 된다. 본 실시형태의 도체 링(131)은, 모재(母材)로서 알루미늄제의 부재의 표면에 양극 산화 처리에 의한 알루마이트의 피복을 실시한 것으로서 설명한다.
상기 도체 링(제2 전극)(131)은, 서셉터 링(113)의 내부에 배치되어 있으며, 또한 고주파 전원(127)으로부터 제2 고주파 전력이 공급된다.
또한, 상기 도체 링(131)에 바이어스 형성용의 고주파 전력을 급전하기 위한 급전 경로로서, 도체 링(131)의 아래쪽에 배치되며, 또한 그 하면에 접속하는 도전체제의 급전 커넥터(161)가 배치되어 있다. 그리고, 급전 커넥터(161)와 도체 링(131)이, 도체 링(131)의 위쪽으로부터 도체 링(131)에 형성된 구멍부에 끼워 넣어진 도전성 나사(132)에 의해 체결되며, 또한 전기적으로 접속되어 있다. 급전 커넥터(161)는, 금속 등의 도전체제의 부재로 구성된 원통 형상을 갖는 도전체제의 급전 보스(133)와, 급전 보스(133)의 하면에 맞닿아 전기적으로 접속된 원통형의 상부 단자(상단의 단자)(143)를 구비하고 있다. 또한, 급전 커넥터(161)는, 상부 단자(143)의 하면에 상단이 접속된 판 스프링(스프링 부재, 도전 부재)(135)과, 판 스프링(135)의 하단이 그 상면에 접속된 원통형의 하부 단자(하단의 단자)(145)와, 하부 단자(145)의 하면과 나사 또는 볼트에 의해 체결되며, 또한 접속된 도전체제의 중계 단자(148)를 구비하고 있다. 판 스프링(135)은, 도 3에 나타내는 구조이며, 상하 방향(P)으로 탄성을 갖는 것이다. 중계 단자(148)는, 안내부(149) 내에 배치되어 있다. 이상과 같이, 급전 커넥터(161)는, 도체 링(131)에 제2 고주파 전력을 공급하는 경로를 구성하는 커넥터 부재이다.
또한, 웨이퍼 재치용 전극(120)은, 그 상부를 구성하는 전극 기재(108)를 갖고 있다. 이 전극 기재(108)는, 원통 또는 원판형을 가지며, 또한 냉매가 내측을 통류하는 냉매 유로(153)를 구비하고 있다. 그리고, 웨이퍼 재치용 전극(120)은, 전극 기재(108)와, 전극 기재(108)의 하면의 아래쪽에서 전극 기재(108)에 접속하여 배치된 원판 형상의 절연 플레이트(151)와, 절연 플레이트(151)의 하면의 아래쪽에서 절연 플레이트(151)에 맞닿아 배치된 원판 형상의 도전체제의 부재이며, 또한 접지 전위로 된 접지 플레이트(152)로 이루어진다. 또한, 웨이퍼 재치용 전극(120)은, 전극 기재(108)와 절연 플레이트(151)와 접지 플레이트(152)가, 도시하지 않은 볼트 등으로 체결되어 일체로 연결되어 있다.
또한, 접지 플레이트(152)의 외주단의 하면이, 상단면상에 놓이는 원통 형상을 가진 전극 베이스(147)와, 도시하지 않은 볼트에 의해 이들 사이에 O링 등의 시일 부재(146)를 끼워 체결되어 있다. 상세하게는, 접지 플레이트(152)의 아래쪽 및 전극 베이스(147)의 중앙측의 공간(150)과, 웨이퍼 재치용 전극(120)의 외부의 처리실(104)이 기밀해지도록 봉지(封止)되어 체결되어 있다.
본 실시형태에 있어서, 급전 커넥터(161)는, 전극 기재(108)와 그 아래쪽의 절연 플레이트(151)와 접지 플레이트(152)를 관통하는 관통 구멍(120c)과, 절연체제 또는 유전체제의 링 형상의 절연 링(139)에 형성된 관통 구멍(139a)의 내부에 끼워 넣어져 배치되어 있다. 관통 구멍(120c)은, 전극 기재(108)의 상부에서 웨이퍼(109)가 놓이는 중앙부의 원통형의 볼록부의 외주측에 링 형상으로 배치된 오목부(120d)의 상면에 개구(開口)해 있는 구멍이다. 또한, 관통 구멍(139a)은, 오목부(120d)에 놓인 서셉터 링(113)을 구성하는 절연체제 또는 유전체제의 링 형상의 절연 링(139)에 형성된 구멍이다.
그리고, 전극 기재(108)와 그 아래쪽의 절연 플레이트(151)와 접지 플레이트(152)를 관통하는 관통 구멍(120c)의 내측에는, 절연성의 재료로 구성된 원통형의 절연 보스(원통형 부재)(144)가, 그 외주의 벽면을 관통 구멍(120c)의 둘레 벽면에 맞닿게 하여 끼워 넣어져 있다. 또한, 절연 보스(144)의 내측의 공간(141)에는, 급전 보스(133) 및 그 아래쪽의 상부 단자(143), 판 스프링(135), 하부 단자(145)가 삽입되어 수납되어 있고, 절연 보스(144)의 외측의 전극 기재(108)와 접지 플레이트(152) 사이에서 절연되어 있다. 또한, 급전 보스(133)의 외주의 측벽과 절연 보스(144)의 내주 측벽 사이에 이들에 끼워져 배치되며, 또한 급전 보스(133)의 아래쪽의 공간(141)과 위쪽의 공간 사이를 시일하는 O링(134)이 배치되어 있다.
또한, 본 실시형태에서는, 시일부인 O링(134)은, 절연 보스(144) 또는 관통 구멍(120c)의 위쪽의 처리실(104)과 연통된 공간 사이를 기밀하게 봉지하고 있다. 이것에 의해, 공간(150)에 연통되어 같은 대기압 또는 이것으로 간주할 수 있을 정도로 근사한 압력으로 유지된 공간(141) 내에 있어서 접촉하는 상부 단자(143), 금속제의 판 스프링(135), 하부 단자(145), 중계 단자(148)와의 사이의 전기적인 접속을 확실하게 확보할 수 있다. 또한, 이들 상부 단자(143), 금속제의 판 스프링(135), 하부 단자(145), 중계 단자(148)끼리가 접촉하는 개소로 처리실(104) 내의 반응성이 높은 입자가 진입하여 부식되고, 또는 반응물이 생성되며, 혹은 부재의 변질에 의한 전기적 접속의 성능의 열화(劣化)가 생기는 것을 억제할 수 있다.
또한, 고주파 전력이 공급되어 가열되는 도체 링(131)으로부터의 열의 전달을 촉진하여, 서셉터 링(113)의 온도의 상승에 의한 웨이퍼(109)의 외주부에서의 처리 결과가 소기의 것으로부터 허용 범위 외로 되어버리는 것을 억제할 수 있도록 냉각이 촉진된다. 즉, 본 실시형태의 급전 커넥터(161)에 있어서는, 도전체제의 단자끼리의 사이의 급전의 경로로서, 받는 외력에 따라 길이 방향의 치수가 신축하는 판 스프링(135)이 이용되고 있다. 즉, 본 실시형태의 플라스마 에칭 장치(100)에 마련된 급전 커넥터(161)는, 시료대(웨이퍼 재치용 전극(120))의 서셉터 링(113)에 의해 덮이는 부분의 내부를 관통하는 관통 구멍(120c) 내에 배치된 절연체제의 절연 보스(144)의 내부에 배치되며, 또한 상부 단자(143) 및 하부 단자(145)에 접속되어 상하 방향으로 가압되어 신축하는 탄성을 가진 판 스프링(135)을 구비하고 있다. 상하 방향은, 도 2의 화살표(P)의 방향이다.
또한, 플라스마 에칭 장치(100)에서는, 판 스프링(135), 상부 단자(143) 및 하부 단자(145)는, 대기압으로 된 공간(141) 내에 배치되어 있다. 그리고, O링(134) 등의 시일 부재에 의해, 대기압의 공간(141)과 처리실(104) 내 사이의 위치이며, 또한 상부 단자(143)의 위쪽의 관통 구멍(120c)의 내부의 개소에서 기밀하게 봉지되어 있다.
여기에서, 본원 발명자가 검토한 본원의 과제에 대해서 설명한다.
예를 들면, 본 발명자가 비교 검토한 플라스마 처리 장치에서는, 웨이퍼(109)의 처리 중에 처리실(104) 내에 형성되는 플라스마(116)로부터의 열이나 웨이퍼(109)의 표면의 처리에 따른 상호 작용에 의해 생기는 열을 받아 웨이퍼 재치용 전극(120)은 가열된다. 이때, 도전체제의 부재인 전극 기재(108) 및 접지 플레이트(152)와 이에 접속된 절연성 재료 또는 유전체 재료로 구성된 절연 플레이트(151) 사이에서의 열팽창 계수의 차에 의해 형상에 차가 생기는 결과, 일체로 접속된 이들 부재의 사이에서 변형이 생겨 버린다. 그러면, 전극 기재(108), 절연 플레이트(151), 접지 플레이트(152)를 관통하는 관통 구멍의 길이 등의 치수가 변화되고, 전극 기재(108)상에 놓인 도체 링(131)과 웨이퍼 재치용 전극(120)의 내부의 공간(150) 내에 배치된 급전 경로의 단자부 사이의 거리가 변동한다. 그리고, 이들을 접속하는 급전 커넥터에 대하여 이 급전 커넥터를 변형시키고자 하는 외력이 작용한다.
상기 기술에서는, 상기 외력이 급전 커넥터의 구조적 강도를 초과했을 때에 급전 커넥터가 파손하거나, 또는 생기는 변형의 크기가 급전 커넥터가 변형 가능한 범위 내여도, 연속적으로 복수매의 웨이퍼(109)가 처리되는 플라스마 에칭 장치의 반도체 디바이스를 제조하는 공정에 있어서, 웨이퍼 재치용 전극(120)에는 처리마다의 가열 및 냉각과 이에 수반하는 팽창에 기인하는 변형이 반복하여 생기게 된다. 이에 따라, 급전 커넥터의 내부 혹은 이와 다른 부재와의 접촉 부분에 있어서 변형에 수반하는 슬라이딩이 발생하여 마모, 상호 작용에 의한 반응물의 형성, 파손이 생겨 버린다. 그 결과, 상기 플라스마 처리 장치의 장기간에 걸친 신뢰성이 손상되어 버린다는 과제가 생기고 있다.
그래서, 본 실시형태의 플라스마 에칭 장치(100)에서는, 절연 보스(144)의 내부의 공간(141)에 있어서, 급전 보스(133)의 아래쪽의 상부 단자(143), 하부 단자(145) 사이의 판 스프링(135)이 상부 단자(143), 하부 단자(145)에 대하여 도 2의 화살표(P) 방향(상하 방향)으로 가압되어 끼워져 배치되어 있다. 이에 따라, 상기의 변형에 기인하는 관통 구멍(120c)의 형상의 변화, 특히 관통 구멍(120c) 내의 상하 방향의 급전 경로의 길이의 변화에 수반하여 볼트에 의해 접속된 상부 단자(143), 하부 단자(145)의 위치의 변동에 대하여, 탄성을 가진 판 스프링(135)의 상하 방향의 신장 또는 수축에 의해 접속 개소에 추종하도록 판 스프링(135)이 변형한다. 그리고, 이들의 접속 개소는 대기압으로 된 공간(141) 내에 배치되어 있기 때문에, 판 스프링(135)에 의한 열의 전달의 성능이 높게 유지되어, 도체 링(131)의 온도의 과도한 상승을 억제할 수 있다.
또한, 본 실시형태의 플라스마 에칭 장치(100)에서는, 급전 커넥터(161)를 통한 도체 링(131)에의 고주파 전력의 급전 경로, 특히, 웨이퍼 재치용 전극(120)의 내부에 있어서는, 부재끼리의 사이의 극간을 적절히 배치하여, 고주파 전류가 흐르는 거리를 크게 확보하여 내전압을 올리는 구성이 구비되어 있다.
여기에서, 도 4는 파셴의 법칙을 모식적으로 나타내는 그래프이다. 도 4에 나타내는 바와 같이, 횡축에 2개의 부재 사이의 전위차(전압)와 부재끼리의 최단 거리와의 곱을 취했을 경우, 방전이 개시되는 전압을 나타내는 실선(Q)은 아래 방향으로 볼록한 곡선으로서 나타난다. 부재끼리의 거리가 매우 가깝고 그래프의 곡선의 좌측의 영역에 속하는 조건에서는, 상기 최단 거리를 가능한 한 작게 함으로써 내전압을 높게 할 수 있다. 한편으로, 본 실시형태와 같이, 전극 기재(108)의 내부에 배치된 관통 구멍(120c) 내에 급전 경로를 구성하는 급전 커넥터(161)를 배치하고, 전극 기재(108)와 전기적으로 완전히 분리할 경우에는, 도 4의 곡선 우측의 영역의 조건이 되고, 전위차가 생기는 부재끼리의 사이에서 전류가 전달되는 거리를 보다 크게 함으로써 내전압을 높게 할 수 있다.
즉, 내전압을 높게 하기 위해서는, 화살표(701)로 나타내는 바와 같이 횡축에 취한 파라미터인 압력×거리, 즉 거리 또는 압력을 높게 하는 것이 필요해진다. 예를 들면, 부재끼리의 사이의 극간을 대기압으로 함으로써 화살표(702)로 나타내는 바와 같이 내전압을 크게 하여 방전을 효과적으로 억제할 수 있다. 또한, 사양상에서 필요한 값 이상의 내전압이 얻어지는 압력으로 함으로써, 부재끼리의 극간의 크기를 필요한 내전압이 얻어지는 범위 내에서 작게 할 수도 있다(화살표(703)).
또한, 대기압이 된 공간(141)의 내부의 급전 보스(133), 판 스프링(135), 상부 단자(143), 하부 단자(145) 등은, 대기압의 가스를 통한 전극 기재(108)와의 사이의 열전달이 촉진되어, 급전 커넥터(161) 혹은 도체 링(131), 서셉터 링(113)의 과도한 온도의 상승을 억제하는 것이 가능해진다.
또한, 판 스프링(135)은, 도 2에 나타내는 바와 같이, 상하 방향(P)의 신축시에도 절연 보스(144)의 내벽과 접촉하지 않는 치수를 갖고 있다. 또한, 고주파 전류가 통과할 때의 표피 저항을 낮춰, 고주파 전류가 통과하는 면적을 보다 넓게 할 수 있도록, 소정의 폭의 금속제의 판재를 길이 방향(여기에서는, 상하 방향(P))에 대해서 주름진 형상으로 번갈아 꺽어 구부린 구성을 구비하고 있다.
그리고, 본 실시형태의 판 스프링(135)은, 예를 들면, 오스테나이트계의 SUS304-CSP를 재료로서 이용하고, 고주파 전류의 표피 저항을 낮추기 위해 판재의 표면에는 금 도금이 실시되어 있다. 스프링성을 유지 가능한 일반적인 스테인레스나 티탄이나 알루미늄이나 구리를 이용해도 된다.
또한, 판 스프링(135)의 두께는, 소정의 주파수의 고주파 전류에 대한 표피 깊이×2의 크기로 함으로써, 전류를 전달하는 효율을 가장 크게 할 수 있다. 여기에서, 고주파 전력의 주파수로서 400㎑를 이용한 본 실시형태에서는, 금으로 표피 깊이를 계산한 결과 0.1㎜가 되었다. 그 때문에, 판 두께는 0.1㎜×2=0.2㎜로 제작하는 것이 가장 바람직하다. 제작상의 공차나 정밀도의 한계를 고려하면 0.1 내지 1.0㎜(0.1∼1.0㎜) 정도가 바람직하다.
또한, 본 실시형태의 유전체제의 서셉터 링(113)은, 복수의 부재로 구성되며, 적어도 2개로 분할하는 것이 가능하다. 즉, 서셉터 링(113)은, 도체 링(131)의 상면과 내주이며, 또한 외주의 벽면을 덮어 전극 기재(108)의 볼록부의 외주측의 오목부(120d)상에서 이를 덮어 배치되는 상부 서셉터(138)와, 상부 서셉터(138)의 내주측 부분의 아래쪽에 배치되며, 또한 도체 링(131)이 그 위에 놓이는 절연 링(139)으로 분할되어 있다. 도체 링(131)은, 절연 링(139)의 상면의 위쪽에 놓인 상태에서 상부 서셉터(138)에 위쪽과 옆쪽이 덮이는 결과, 서셉터 링(113)의 내측에 내장된다.
또한, 서셉터 링(113)은, 복수의 부재로 분할되지 않고 일체로 연결된 부재여도 되고, 그리고, 이 일체형의 서셉터 링(113)의 내부에 도체 링(131)이 배치되어 있어도 된다. 예를 들면, 2개의 석영제의 부재에 의해 도체 링(131)을 끼운 상태에서 석영끼리의 확산 접합이나 일체 소결을 이용해도 된다.
다음으로, 도 5는 도 2에 나타내는 시료대의 서셉터 링의 다른 부분의 구성을 확대하여 모식적으로 나타내는 단면도이다.
도 5에 나타내는 구성에서는, 절연 링(139)은, 그것을 전극 기재(108)의 상부의 외주측 부분의 오목부(120d)에 놓고 위치를 고정하기 위해, 도체 링(131)이 놓이는 개소의 외주측에 배치된 관통 구멍(139b)에 삽입된 절연성 나사(136)에 의해 전극 기재(108)에 체결되어 있다.
또한, 절연 링(139)상에 놓인 도체 링(131)을 이에 체결하여 위치를 고정하기 위해, 절연 링(139)의 링 형상의 상면의 복수 개소에 배치한 구멍의 내측에 암나사부를 가진 헬리서트(137)를 삽입하고, 이에 따라, 절연 링(139)에 접착하여 고정한다. 복수의 헬리서트(137)를 덮도록 놓인 도체 링(131)의 관통 구멍(131a)과 헬리서트(137)의 위치를 아울러 도전성 나사(132)를 관통 구멍(131a)에 삽입하여 절연 링(139)에 대하여 체결한다.
본 실시형태의 헬리서트(137)는, 절연 링(139)의 둘레 방향에 대해서 3개소 이상의 개소에 배치되고, 평면도(平面度)가 좋지 않은 도체 링(131)을 3개 이상의 개소에서 체결하고 있다. 이에 따라, 절연 링(139) 및 상부 서셉터(138)가 도체 링(131)의 상하 및 외주의 주위를 둘러싸서 배치된다. 복수의 헬리서트(137)의 개소에서 절연 링(139)에 연결됨으로써, 도체 링(131)의 상면은, 그 둘레 방향에 대한 평면도를 향상시켜, 처리 중인 웨이퍼(109)의 위쪽의 공간 내의 전위의 분포에 영향을 미치는 도체 링(131)의 상면의 높이의 불균일을 억제할 수 있다. 그 결과, 웨이퍼(109)의 외주측 부분의 상면의 처리의 특성이나 결과를 둘레 방향에 보다 균일하게 근접시킬 수 있다.
또한, 상부 서셉터(138)의 내주측 부분의 저면(底面)에는, 상부 서셉터(138)가 도체 링(131)을 내장하여 절연 링(139)상에 놓인 상태에서, 절연 링(139)의 상면의 내주측 부분과 접촉하여 맞닿는 닿음면(138a)이 도체 링(131)의 내주측의 위치에 링 형상으로 형성된다. 도체 링(131)의 표면과 플라스마(116)의 반응성이 높은 입자와의 상호 작용을 저감하기 위해, 상부 서셉터(138)와 절연 링(139)의 닿음면(138a)을 형성하고, 이에 따라, 웨이퍼(109)의 외주연부나 플라스마(116)의 내부로부터의 반응 생성물이 도체 링(131)의 표면에 부착되는 것을 억제할 수 있다.
이상의 실시형태에 의하면, 도체 링(131)에 연결되는 고주파 전력의 급전 경로에 급전 커넥터(161)가 마련된 것에 의해, 서셉터 링(113)의 내부에 배치되며, 또한 고주파 전력이 공급되는 도체 링(131)에의 고주파 전력의 급전 경로의 임피던스를 낮게 할 수 있고, 또한 급전 경로, 특히 전극 기재(108)의 내부의 급전 커넥터(161)를 효율적으로 냉각할 수 있다. 또한, 급전 커넥터(161)에서의 발열에 의한 변형이나 슬라이딩과, 이에 기인하는 고장이나 소모의 진행을 저감할 수 있고, 장기간에 걸쳐 플라스마 에칭 장치(100)의 신뢰성과 수율을 높게 유지할 수 있다.
또한, 급전 커넥터(161)의 구성 부재로서, 판 스프링(135)이 마련된 것에 의해, 웨이퍼(109)의 주위에 고주파가 인가되는 급전 경로의 방열 면적을 넓게 할 수 있어, 상기 급전 경로의 임피던스를 저감하는 것이 가능해진다. 또한, 웨이퍼(109)의 에지에 고주파를 효율적으로 전파시켜 상기 급전 경로의 발열을 억제할 수 있다. 이에 따라, 플라스마 처리 장치의 신뢰성을 향상시킬 수 있음과 함께, 플라스마 처리에 있어서의 수율을 향상시킬 수 있다.
(변형예 1)
본 실시형태의 변형예 1을 도 6을 이용하여 설명한다. 도 6은 본 발명의 실시형태의 변형예 1에 따른 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도이다.
본 변형예 1의 플라스마 에칭 장치(100)는, 도 2의 구조의 급전 커넥터(161)의 판 스프링(135)을 바꾸어, 금속 등의 도전성 부재로 구성되며, 또한 상하 방향(P)의 축 주위에 복수단으로 감겨 탄성을 가진 코일 스프링(스프링 부재, 도전 부재)(154)을 구비하고 있다. 코일 스프링(154) 이외의 다른 구성에 대해서는 도 2의 플라스마 에칭 장치(100)와 동등한 것을 구비하고 있다. 코일 스프링(154)의 재료는, 예를 들면, 오스테나이트계의 SUS304-CSP가 이용되고, 고주파 전력에 대한 표피 저항을 낮추기 위해, 코일 스프링(154)의 표면에 금 도금이 실시되어 있다. 재료로서는, 일반적인 스테인레스나 티탄이나 알루미늄이나 구리여도 된다.
도 6의 플라스마 에칭 장치(100)에서는, 고주파 전력의 주파수로서 400㎑가 이용되기 때문에, 이 주파수에 대한 금에서의 표피 깊이는 0.1㎜가 되었다. 이것으로부터, 0.1㎜×2=0.2㎜ 이상의 지름을 가진 선 형상의 부재로 코일 스프링(154)을 구성하는 것이 바람직하다. 제조상의 공차나 정밀도를 고려하면 0.2∼3.0㎜의 선 지름의 부재를 이용하는 것이 바람직하다.
(변형예 2)
상기 변형예 1의 다른 변형예(변형예 2)를 도 7 및 도 8을 이용하여 설명한다. 도 7은 본 발명의 실시형태의 변형예 2에 따른 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도, 도 8은 도 7에 나타내는 곡면판 스프링의 구성을 확대하여 모식적으로 나타내는 사시도이다.
본 변형예 2의 플라스마 에칭 장치(100)는, 도 2의 구조의 급전 커넥터(161)의 판 스프링(135)을 바꾸어, 금속 등의 도전성 부재로 구성된 판 부재가 도 8에 나타내는 좌우 방향(R)으로 요철을 가진 곡면판 스프링(142)을 구비하고 있다. 곡면판 스프링(142) 이외의 다른 구성에 대해서는 도 2의 플라스마 에칭 장치(100)와 동등한 것을 구비하고 있다. 곡면판 스프링(142)의 재료에는, 예를 들면, 오스테나이트계의 SUS304-CSP가 이용되고, 고주파 전력에 대한 표피 저항을 낮추기 위해, 곡면판 스프링(142)의 표면에 금 도금이 실시되어 있다. 재료로서는, 일반적인 스테인레스나 티탄이나 알루미늄이나 구리여도 된다.
도 7의 플라스마 에칭 장치(100)에서는, 곡면판 스프링(142)의 두께는, 표피 깊이×2가 가장 효율적이다. 본 변형예 2에서는 고주파 전력의 주파수는 400㎑가 이용되기 때문에, 이 주파수에 대한 금에서의 표피 깊이는 0.1㎜이다. 따라서, 곡면판 스프링(142)의 두께는 0.1㎜×2=0.2㎜ 이상이 바람직하다. 제조상의 공차나 정밀도를 고려하면, 곡면판 스프링(142)의 판 두께는 0.1∼1.0㎜ 정도가 바람직하다.
(변형예 3)
상기 실시예의 또 다른 변형예(변형예 3)를 도 9를 이용하여 설명한다. 도 9는 본 발명의 실시형태의 변형예 3에 따른 플라스마 처리 장치의 시료대의 서셉터 링의 부분의 구성을 확대하여 모식적으로 나타내는 단면도이다.
본 변형예 3의 플라스마 에칭 장치(100)는, 도 2의 구조의 급전 커넥터(161)의 판 스프링(135)을 바꾸어, 금속 등의 도전성 부재의 도선(예를 들면, 급전용 선로)이 복수 서로 꼬아져 형성된 꼬임선(도전 부재)(155)을 구비하고 있다. 꼬임선(155) 이외의 다른 구성에 대해서는 도 2의 플라스마 에칭 장치(100)와 동등한 것을 구비하고 있다. 본 변형예 3에서는, 꼬임선(155)의 재료에는, 예를 들면 오스테나이트계의 SUS304-CSP가 이용되고, 고주파 전력에 대한 표피 저항을 낮추기 위해, 각각의 도선의 표면에 주석 도금이 실시되어 있다. 재료로서는, 일반적인 스테인레스나 티탄이나 알루미늄이나 구리여도 된다.
도 9의 플라스마 에칭 장치(100)에서는, 꼬임선(155)의 집합한 선의 지름은 표피 깊이×2가 가장 효율적이다. 본 변형예 3에서는 고주파 전력의 주파수는 400㎑가 이용되기 때문에, 이 주파수에 대한 주석에서의 표피 깊이는 0.3㎜이다. 따라서, 꼬임선(155)의 집합 지름은 0.3㎜×2=0.6㎜ 이상이 바람직하다. 제조상의 공차나 정밀도를 고려하면, 꼬임선(155)의 집합 지름은 0.6∼10㎜ 정도가 바람직하다. 또한, 꼬임선(155)의 집합 지름이란, 집합한 꼬임선(155)의 가장 지름이 큰 개소의 지름을 나타내는 것이다.
다음으로, 상기 실시형태 또는 변형예 1∼3에서는, 처리 전에 미리 웨이퍼(109)의 상면에 배치되는 막 구조에 포함되는 처리 대상의 막층의 피에칭 재료를 실리콘 산화막으로 하고, 이 경우에는, 에칭용의 처리 가스 및 클리닝용의 클리닝 가스로서, 사불화메탄 가스, 산소 가스, 트리플루오로메탄 가스가 이용된다. 또한, 상기 피에칭 재료로서는, 실리콘 산화막뿐만 아니라, 폴리실리콘막, 포토레지스트막, 반사 방지 유기막, 반사 방지 무기막, 유기계 재료, 무기계 재료, 실리콘 산화막, 질화실리콘 산화막, 질화실리콘막, Low-k 재료, High-k 재료, 아모르퍼스카본막, Si 기판, 메탈 재료 등을 이용할 수 있고, 이들 경우에 있어서도 동등한 효과가 얻어진다.
또한, 에칭용의 처리 가스로서는, 염소 가스, 브롬화수소 가스, 사불화메탄 가스, 삼불화메탄 가스, 이불화메탄 가스, 아르곤 가스, 헬륨 가스, 산소 가스, 질소 가스, 이산화탄소 가스, 일산화탄소 가스, 수소 가스 등을 사용할 수 있다. 또한, 에칭용의 처리 가스로서는, 암모니아 가스, 팔불화프로판 가스, 삼불화질소 가스, 육불화황 가스, 메탄 가스, 사불화실리콘 가스, 사염화실리콘 가스, 네온 가스, 크립톤 가스, 크세논 가스, 라돈 가스 등을 사용할 수 있다.
이상, 본 발명자에 의해 이루어진 발명을 실시형태에 의거하여 구체적으로 설명했지만, 본 발명은 상기한 실시형태에 한정되는 것이 아니라, 다양한 변형예가 포함된다. 예를 들면, 상기한 실시형태는 본 발명을 이해하기 쉽게 설명하기 위해 상세하게 설명한 것이며, 반드시 설명한 모든 구성을 구비하는 것에 한정되는 것이 아니다.
또한, 어떤 실시형태의 구성의 일부를 다른 실시형태의 구성으로 치환한 것이 가능하며, 또한, 어떤 실시형태의 구성에 다른 실시형태의 구성을 더하는 것도 가능하다. 또한, 각 실시형태의 구성의 일부에 대해서, 다른 구성의 추가, 삭제, 치환을 하는 것이 가능하다. 또한, 도면에 기재한 각 부재나 상대적인 사이즈는, 본 발명을 이해하기 쉽게 설명하기 위해 간소화·이상화하고 있고, 실장상은 보다 복잡한 형상이 된다.
상기 실시형태에서는, 처리실(104) 내에 주파수가 2.45㎓인 마이크로파의 전계와 이에 더불어 ECR을 형성할 수 있는 자계를 공급하고, 처리용 가스를 방전시켜 플라스마를 형성하는 구성을 설명했다. 그러나, 상기 실시형태에서 설명한 구성은, 다른 방전(유자장 UHF 방전, 용량 결합형 방전, 유도 결합형 방전, 마그네트론 방전, 표면파 여기 방전, 트랜스퍼·커플드 방전)을 이용하여 플라스마를 형성할 경우에도, 상기의 실시형태 등에서 설명한 것과 동일한 작용·효과를 발휘할 수 있다. 또한, 플라스마 처리를 행하는 그 밖의 플라스마 처리 장치, 예를 들면 플라스마 CVD 장치, 애싱 장치, 표면 개질 장치 등으로 배치되는 웨이퍼 재치용 전극에, 상기 실시형태 및 변형예 1∼3을 적용했을 경우에 대해서도 동일한 작용 효과를 얻을 수 있다.
100: 플라스마 에칭 장치(플라스마 처리 장치)
101: 진공 용기 102: 샤워 플레이트
102a: 가스 도입 구멍 103: 유전체창
104: 처리실 105: 도파관
106: 전계(電界) 발생용 전원 107: 자장 발생 코일
108: 전극 기재 109: 반도체 웨이퍼
110: 진공 배기구 111: 도전체막(정전 흡착용 전극)
112: 접지 113: 서셉터 링(링 형상 부재)
116: 플라스마
120: 웨이퍼 재치(載置)용 전극(시료대, 제1 전극)
120a: 재치면 120b: 상면
120c: 관통 구멍 120d: 오목부
124: 고주파 전원(제1 고주파 전원) 125: 고주파 필터
126: 직류 전원 127: 고주파 전원(제2 고주파 전원)
128, 129: 정합기 130: 부하 임피던스 가변 박스
131: 도체 링(제2 전극) 131a: 관통 구멍
132: 도전성 나사 133: 급전 보스
134: O링
135: 판 스프링(스프링 부재, 도전 부재)
136: 절연성 나사 137: 헬리서트
138: 상부 서셉터 138a: 닿음면
139: 절연 링 139a, 139b: 관통 구멍
140: 유전체막 141: 공간
142: 곡면판 스프링 143: 상부 단자(상단의 단자)
144: 절연 보스(원통형 부재) 145: 하부 단자(하단의 단자)
146: 시일 부재 147: 전극 베이스
148: 중계 단자 149: 안내부
150: 공간 151: 절연 플레이트
152: 접지 플레이트 153: 냉매 유로
154: 코일 스프링(스프링 부재, 도전 부재)
155: 꼬임선(도전 부재) 160: 전계·자계 형성부
161: 급전 커넥터 701, 702, 703: 화살표

Claims (10)

  1. 플라스마가 형성되는 처리실을 내부에 구비한 진공 용기와,
    상기 처리실 내의 하부에 배치되고, 처리 대상의 반도체 웨이퍼가 놓이는 재치면(載置面)을 구비한 시료대와,
    상기 처리실 내에 공급된 가스를 이용하여 플라스마 형성용의 전계(電界)를 형성하는 전계 형성부와,
    상기 시료대를 구성하고, 상기 플라스마가 형성되고 있는 동안에 제1 고주파 전원으로부터 제1 고주파 전력이 공급되는 제1 전극과,
    상기 시료대의 상기 재치면의 외주부(外周部)에 배치되어 상기 시료대의 표면을 덮고, 유전체로 이루어지는 링 형상 부재와,
    상기 링 형상 부재의 내부에 배치되고, 제2 고주파 전원으로부터의 제2 고주파 전력이 공급되는 제2 전극과,
    상기 시료대의 상기 재치면을 구성하고, 정전기에 의한 반도체 웨이퍼 흡착용의 직류 전력이 내부에 공급되는 막 형상의 정전 흡착용 전극과,
    상기 제2 전극에 상기 제2 고주파 전력을 공급하는 경로를 구성하는 급전 커넥터를 갖고,
    상기 급전 커넥터는, 상기 시료대의 상기 링 형상 부재에 의해 덮이는 부분의 내부를 관통하는 관통 구멍 내에 배치된 절연성의 원통형 부재의 내부에 배치되며, 또한 그 상하단의 단자에 접속되어 상하 방향으로 가압되어 신축하는 탄성을 가진 도전 부재를 구비하고 있는 플라스마 처리 장치.
  2. 제1항에 있어서,
    상기 도전 부재 및 상기 상하단의 단자는, 대기압으로 된 공간 내에 배치되어 있음과 함께 상기 대기압의 공간과 상기 처리실 내 사이의 위치이며, 또한 상기 상단의 단자의 위쪽의 상기 관통 구멍의 내부의 개소에서 기밀하게 봉지(封止)되어 있는 플라스마 처리 장치.
  3. 제1항 또는 제2항에 있어서,
    상기 도전 부재는, 스프링 부재인 플라스마 처리 장치.
  4. 제3항에 있어서,
    상기 스프링 부재는, 판 스프링에 의해 구성되어 있는 플라스마 처리 장치.
  5. 제4항에 있어서,
    상기 판 스프링의 두께는 0.1∼1.0㎜인 플라스마 처리 장치.
  6. 제1항 또는 제2항에 있어서,
    상기 도전 부재는, 스프링 부재이며,
    상기 스프링 부재는, 코일 스프링에 의해 구성되어 있는 플라스마 처리 장치.
  7. 제6항에 있어서,
    상기 코일 스프링의 선 지름은 0.2∼3.0㎜인 플라스마 처리 장치.
  8. 제1항 또는 제2항에 있어서,
    상기 도전 부재는, 복수의 급전용 선로가 서로 꼬아진 꼬임선에 의해 구성되어 있는 플라스마 처리 장치.
  9. 제8항에 있어서,
    상기 꼬임선의 집합 지름은 0.6∼10㎜인 플라스마 처리 장치.
  10. (a) 플라스마 처리가 행해지는 진공 용기 내에 마련된 시료대에 반도체 웨이퍼를 재치하는 공정과,
    (b) 상기 (a) 공정 후, 상기 시료대상에 재치된 상기 반도체 웨이퍼상에 플라스마를 형성하고, 상기 플라스마를 형성하고 있는 동안, 제1 고주파 전원으로부터 상기 시료대에 제1 고주파 전력을 공급하여 상기 반도체 웨이퍼에 플라스마 처리를 행하는 공정을 갖고,
    상기 플라스마 처리 중에, 제2 고주파 전원으로부터 상기 시료대의 외주부의 상부에 배치된 전극에, 상기 시료대에 마련된 급전 커넥터를 통해 제2 고주파 전력을 공급하고,
    상기 제2 고주파 전력을 공급할 때에는, 상기 시료대의 링 형상 부재에 의해 덮이는 부분의 내부를 관통하는 관통 구멍 내에 배치된 절연성의 원통형 부재와, 상기 원통형 부재의 내부에 배치되며, 또한 그 상하단의 단자에 접속되어 상하 방향으로 가압되어 신축하는 탄성을 가진 도전 부재를 구비한 상기 급전 커넥터를 통해 공급하는 플라스마 처리 방법.
KR1020180091059A 2017-12-21 2018-08-06 플라스마 처리 장치 및 플라스마 처리 방법 KR102092096B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017244727A JP7149068B2 (ja) 2017-12-21 2017-12-21 プラズマ処理装置およびプラズマ処理方法
JPJP-P-2017-244727 2017-12-21

Publications (2)

Publication Number Publication Date
KR20190075783A true KR20190075783A (ko) 2019-07-01
KR102092096B1 KR102092096B1 (ko) 2020-04-22

Family

ID=66948892

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180091059A KR102092096B1 (ko) 2017-12-21 2018-08-06 플라스마 처리 장치 및 플라스마 처리 방법

Country Status (5)

Country Link
US (1) US10804080B2 (ko)
JP (1) JP7149068B2 (ko)
KR (1) KR102092096B1 (ko)
CN (1) CN109950119B (ko)
TW (1) TWI679925B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230063744A (ko) * 2021-11-02 2023-05-09 피에스케이 주식회사 커넥터 어셈블리, 이를 가지는 기판 처리 장치

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6655310B2 (ja) * 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10867829B2 (en) * 2018-07-17 2020-12-15 Applied Materials, Inc. Ceramic hybrid insulator plate
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) * 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US10784089B2 (en) * 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP2021026855A (ja) * 2019-08-01 2021-02-22 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7282646B2 (ja) * 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
CN110634727B (zh) * 2019-11-18 2020-02-21 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR102503478B1 (ko) * 2019-12-18 2023-02-27 주식회사 히타치하이테크 플라스마 처리 장치
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210220813A1 (en) * 2020-01-16 2021-07-22 Johnson Matthey Public Limited Company Pallet for supporting a catalyst monolith during coating
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7454961B2 (ja) * 2020-03-05 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
WO2022015614A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Method of mounting wires to substrate support ceramic
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230070804A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114582698B (zh) * 2022-03-02 2023-09-19 中国科学院光电技术研究所 用于大曲率非平面器件的低温等离子体刻蚀装置及方法
CN114698221A (zh) * 2022-03-03 2022-07-01 大连海事大学 一种采用旋转电极的滑动弧放电装置
TWI832336B (zh) * 2022-07-18 2024-02-11 友威科技股份有限公司 具高導電電極的連續電漿製程系統

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10308299A (ja) * 1997-05-09 1998-11-17 Toshiba Corp プラズマ処理装置
KR20100138687A (ko) * 2009-06-24 2010-12-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리장치 및 플라즈마처리방법
JP2016225376A (ja) 2015-05-28 2016-12-28 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5348497A (en) * 1992-08-14 1994-09-20 Applied Materials, Inc. High voltage vaccum feed-through electrical connector
US5929373A (en) * 1997-06-23 1999-07-27 Applied Materials, Inc. High voltage feed through
JP5274918B2 (ja) * 2008-07-07 2013-08-28 東京エレクトロン株式会社 プラズマ処理装置のチャンバー内部材の温度制御方法、チャンバー内部材及び基板載置台、並びにそれを備えたプラズマ処理装置
JP2010021260A (ja) 2008-07-09 2010-01-28 Sumitomo Electric Ind Ltd 極低温機器用の電流リードおよび端末接続構造
US20120160807A1 (en) * 2010-12-28 2012-06-28 Spansion Llc System, method and apparatus for reducing plasma noise on power path of electrostatic chuck
JP5898882B2 (ja) * 2011-08-15 2016-04-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5936361B2 (ja) 2012-01-12 2016-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6244804B2 (ja) * 2013-10-15 2017-12-13 住友大阪セメント株式会社 静電チャック装置
KR20150064993A (ko) * 2013-12-04 2015-06-12 삼성전자주식회사 반도체 제조 장치
JP6442296B2 (ja) 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10308299A (ja) * 1997-05-09 1998-11-17 Toshiba Corp プラズマ処理装置
KR20100138687A (ko) * 2009-06-24 2010-12-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리장치 및 플라즈마처리방법
JP2011009351A (ja) 2009-06-24 2011-01-13 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2016225376A (ja) 2015-05-28 2016-12-28 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230063744A (ko) * 2021-11-02 2023-05-09 피에스케이 주식회사 커넥터 어셈블리, 이를 가지는 기판 처리 장치
WO2023080549A1 (ko) * 2021-11-02 2023-05-11 피에스케이 주식회사 커넥터 어셈블리, 이를 가지는 기판 처리 장치

Also Published As

Publication number Publication date
JP2019114591A (ja) 2019-07-11
TW201929612A (zh) 2019-07-16
JP7149068B2 (ja) 2022-10-06
US20190198297A1 (en) 2019-06-27
CN109950119B (zh) 2021-07-23
US10804080B2 (en) 2020-10-13
KR102092096B1 (ko) 2020-04-22
CN109950119A (zh) 2019-06-28
TWI679925B (zh) 2019-12-11

Similar Documents

Publication Publication Date Title
KR20190075783A (ko) 플라스마 처리 장치 및 플라스마 처리 방법
KR101800649B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI753457B (zh) 電漿處理裝置及電漿處理方法
US11152192B2 (en) Plasma processing apparatus and method
KR100351646B1 (ko) 플라즈마처리장치
KR101644915B1 (ko) 플라즈마 처리 장치
TWI776874B (zh) 具有電極燈絲的電漿反應器
US20040045813A1 (en) Wafer processing apparatus, wafer stage, and wafer processing method
WO2022201351A1 (ja) プラズマ処理装置およびプラズマ処理方法
KR102553189B1 (ko) 기판 처리 장치
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
KR20080060834A (ko) 플라즈마 처리 장치
US20190287770A1 (en) Plasma processing apparatus
KR20230107749A (ko) 정적 자기장을 사용한 플라즈마 균일도 제어
CN115249606A (zh) 等离子体处理装置、下电极组件及其形成方法
JP2021048411A (ja) プラズマ処理装置およびプラズマ処理方法
KR20070077937A (ko) 캐소드 모듈

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant