KR20100138687A - 플라즈마처리장치 및 플라즈마처리방법 - Google Patents

플라즈마처리장치 및 플라즈마처리방법 Download PDF

Info

Publication number
KR20100138687A
KR20100138687A KR1020090074177A KR20090074177A KR20100138687A KR 20100138687 A KR20100138687 A KR 20100138687A KR 1020090074177 A KR1020090074177 A KR 1020090074177A KR 20090074177 A KR20090074177 A KR 20090074177A KR 20100138687 A KR20100138687 A KR 20100138687A
Authority
KR
South Korea
Prior art keywords
focus ring
high frequency
frequency bias
bias power
ring
Prior art date
Application number
KR1020090074177A
Other languages
English (en)
Other versions
KR101066974B1 (ko
Inventor
겐지 마에다
겐에츠 요코가와
도모유키 다무라
가즈유키 히로자네
다카마사 이치노
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20100138687A publication Critical patent/KR20100138687A/ko
Application granted granted Critical
Publication of KR101066974B1 publication Critical patent/KR101066974B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 웨이퍼 에지부에서의 에칭 특성을 장기간에 걸쳐 양호하게 유지하고, 에지부에서의 수율을 장기간 양호하게 유지하며, 웨트 주기를 연장하여, 장치 가동률을 향상시키는 것이다.
기판 스테이지의 바깥 둘레부에 배치한 포커스링의 하부에, 정전 흡착층, 전극층, 절연층을 설치하고, 전극층에 고주파 전력을 인가함으로써 포커스링에 고주파 바이어스를 인가함과 동시에, 포커스링을 정전 흡착층에 정전 흡착하고, 포커스링과 정전 흡착층의 사이에 전열 가스를 개재시킴으로써 포커스링을 냉각 가능하게 하고, 포커스링의 온도를 소정값으로 제어한다.

Description

플라즈마처리장치 및 플라즈마처리방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은, 플라즈마처리장치를 사용한 에칭 공정 중에서도 절연막의 에칭에 사용되는 드라이 에칭장치(플라즈마처리장치) 및 에칭방법(플라즈마처리방법)에 관한 것으로, 예를 들면 피가공 시료의 패턴이 높은 종횡비 콘택트 홀인 경우에, 특히 웨이퍼 에지에서 발생하는 홀의 기울기(틸팅)나 마스크 막힘, 선택비의 저하 등의 에칭 특성의 열화를 억제할 수 있는 플라즈마처리장치 및 플라즈마처리방법에 관한 것이다.
DRAM(Dynamic Random Access Memory)으로 대표되는 메모리 디바이스에서는, 집적화가 진전됨에 따라, 어떻게 커패시터 용량을 유지할 수 있을지가 중요하게 된다. 커패시터 구조는 크게 구별하면, 실리콘 기판에 깊은 홈을 형성하는 트렌치 커패시터와, 트랜지스터 윗쪽에 커패시터를 형성하는 스택 커패시터가 있다. 각각의 커패시터 모두 용량을 높이기 위해서는, 커패시터의 높이를 크게 확보하거나, 유전체막 두께를 얇게 할 필요가 있으나, 커패시터 높이를 크게 하는 것은 에칭 성능에 의존하고, 한쪽 유전체막 두께를 얇게 하는 것은 실리콘 산화막에서는 한계를 맞이하고 있기 때문에, 고유전 재료의 개발에 의존하고 있다. 에칭 부하를 작게 하기 위하여, 낮은 종횡비 패턴에서도 패턴의 양쪽을 전극으로서 사용함으로써 커패시터 용량을 얻는 시도가 이루어지고 있으나, 미세화를 위해 패턴 바닥부만에서 기계적 강도를 확보하는 것이 곤란해져, 인접 커패시터끼리 접촉하는 문제가 발생하고 있다.
따라서, 역시 커패시터로서는 패턴 안쪽을 주로 사용하는 구조가 주류라고 생각되어, 높은 종횡비의 가공은 앞으로도 계속된다고 생각된다. 국제 반도체기술로드맵에서는, 2011년에 종횡비는 50 정도로 매우 높아지고, 그것을 φ300 mm 웨이퍼 이상의 대구경 웨이퍼에서, 웨이퍼끝에서부터 3 mm까지는 균일하게 가공하는 것이 요구되게 된다. 아마도 앞으로는 상기한 웨이퍼끝에서부터 3 mm라는 값은 점차로 작아지는 것이 요구되며, 궁극의 요구로서는 웨이퍼끝 O mm까지 양품을 취하는 것이 필요하게 될 것이다.
다음에, 드라이 에칭방법을 설명한다. 드라이 에칭이란, 진공용기 내로 도입된 에칭 가스를 외부로부터 인가된 고주파 전력에 의해 플라즈마화하고, 플라즈마 중에서 생성된 반응성 라디칼이나 이온을 웨이퍼에 조사하고, 레지스트로 대표되는 마스크 재료나, 비아홀, 콘택트홀, 커패시터 등의 밑에 있는 배선층이나 밑바탕 기판에 대하여, 선택적으로 피가공막(절연막)을 에칭하는 기술이다. 비아홀이나 콘택트홀, 상기한 커패시터형성에서는, 에칭 가스로서, CF4, CHF3, C2F6, C2F4, C3F6O, C4F8, C5F8, C4F6, C5F6, C6F6 등의 플로로카본 가스에, Ar이나 Xe로 대표되는 희석가스 및 산소가스 등의 혼합가스를 사용한다.
또, 웨이퍼에 고주파 바이어스를 인가하면, 웨이퍼 상부에 이온 시스라 불리우는 양의 공간 전하층이 형성되고, 플라즈마 중에서 생성한 양이온은 시스 전압으로 가속되어 웨이퍼에 입사한다. 바이어스 전압을 제어함으로써 0.5kV 내지 5 kV 정도까지 이온 에너지를 제어하여, 미세하고 또한 수직한 가공 형상을 실현할 수 있다. 이 때, 웨이퍼면 내에서 균일한 가공을 실현할 필요가 있으나, 실제로는, 웨이퍼끝의 형상 이상이 문제가 되는 경우가 있다. 이하, 도 9 내지 도 12를 이용하여 이것을 설명한다.
도 9는 에칭 중의 웨이퍼끝 영역의 상태를 모식적으로 나타내고 있다. 또 도 10은 웨이퍼 에지 부근에서의 에칭 형상을 나타내고 있다. 웨이퍼(4)의 바깥 둘레부에는 대략 둥근 고리형상 부재인 실리콘 포커스링(51)이 설치되어 있으나, 이 포커스링에도 상기 고주파 바이어스는 인가되어 있다. 도 9의 파선은, 포커스링 표면과 웨이퍼 표면이 대략 일치하고 있는 경우의 플라즈마와 시스의 경계면의 상태를 나타내고 있다. 또, 도면에서의 화살표는, 시스 속에서 이온이 가속되는 방향을 나타내고 있다.
여기서는, 웨이퍼(4)와 포커스링(51)에는 단위 면적당 인가되는 고주파 바이어스 전력값은 동일한 것으로 하였다. 그 경우, 파선으로 나타낸 바와 같이, 웨이퍼 상의 플라즈마/시스 경계면과 포커스링 상의 플라즈마/시스 경계면의 위치는 동일해지고, 도면에서의 화살표로 나타낸 바와 같이, 이온은 웨이퍼(4)의 끝까지 수직하게 입사한다. 그 결과, 도 10에 나타낸 바와 같이, 홀 형상은 웨이퍼끝까지 수직하게 가공된다.
그러나, 웨이퍼의 처리 매수가 증대함에 따라, 포커스링(51) 자체도 반응성 라디칼이나 이온 입사의 작용에 의하여 깎여(소모되어)간다. 이 경우, 예를 들면 도 11에 나타낸 바와 같이, 웨이퍼(4)의 표면보다 포커스링(51)의 표면쪽이 밑에 위치하는 경우가 상정된다. 여기서도 포커스링(51)에 인가되어 있는 고주파 바이어스는 웨이퍼(4)에 인가되어 있는 고주파 바이어스와 단위 면적당의 값이 동일하다고 하면, 도 11에 나타낸 바와 같이, 웨이퍼 상에 형성되는 이온 시스와 포커스링 상에 형성되는 이온 시스의 두께는 동일해지기 때문에, 포커스링이 소모된 분만큼, 포커스링 상의 플라즈마/시스 경계면은 하측으로 어긋난다.
그 결과, 웨이퍼끝 부근의 이온 시스가 변형되고, 이 부분에 있는 이온이 웨이퍼 중심측을 향하여 사입사(斜入射)된다. 그 때의 웨이퍼끝 부근의 홀 가공 형상을 도 12에 나타낸다. 이온이 웨이퍼에 대하여 사입사하는 웨이퍼끝 부근에서는 서서히 홀 형상이 비스듬하게 기울어져 있는 것을 알 수 있다(이 현상을 이후, 틸팅이라 한다). 이에 의하여, 웨이퍼끝에서의 수율이 저하한다. 수율의 저하를 방지하기 위하여 포커스링을 빈번하게 교환하는 것은, 장치 가동율의 저하와 런닝 코스트의 상승을 초래한다.
이것에 대하여, 웨이퍼에 인가하는 고주파 바이어스 전압에 대한 포커스링으로의 바이어스 전압비를 가변으로 하는 것이 제안되어 있다(예를 들면, 특허문헌 1참조). 본 기술은, 웨이퍼에 인가하는 고주파 바이어스 전력의 일부를, 전력 분배수단을 사용하여, 포커스링에도 인가하는 것이다.
웨이퍼 상이나 포커스링 상에 형성되는 시스의 두께는, 바이어스 전압이 높 아지면 두꺼워진다. 즉, 포커스링이 소모됨에 따라 포커스링에 인가하는 바이어스 전압비를 올림으로써, 웨이퍼/포커스링 경계 상의 플라즈마/시스 경계면을 장기간 균일하게 유지할 수 있다. 그 결과, 장기에 걸쳐 틸팅을 억제할 수 있다.
한편, 일반적으로 에칭장치에서는 웨이퍼 바이어스 전력을 일정하게 한 제어를 행하고 있다. 환언하면, 포커스링측으로 분배하는 전력과 웨이퍼측으로 분배하는 전력의 합이 일정해지는 제어를 행하고 있다. 그 때문에 특허문헌 1에 기재된 기술에서는, 포커스링으로 분배하는 전력의 비율이 변화되면 웨이퍼측으로 분배하는 전력도 변화되어, 에칭 특성 자체가 변화된다는 폐해가 발생한다. 이것을 회피하기 위하여, 본건 출원인은, 웨이퍼측으로 분배하는 전력이 일정해지도록 제어하는 발명을 일본국 특원2009-29252호로서 선행 출원하고 있다. 또, 원하는 에칭 특성을 얻기 위하여, 피처리 웨이퍼는 표면 온도가 관리되고 있으나, 이 온도 관리에 관한 기술이 특허문헌 2에 개시되어 있다.
[특허문헌 1]
일본국 특개2005-203489호 공보
[특허문헌 2]
일본국 특개2007-258500호 공보
특허문헌 1이나 본건 출원인의 선행 출원에 기재된 기술을 사용하면 웨이퍼 에지부의 틸팅을 장기에 걸쳐 억제할 수 있으나, 다음에 나타내는 바와 같은 다른 문제가 발생한다.
통상 원하는 에칭 특성을 얻기 위하여, 피처리 웨이퍼는 표면 온도가 30℃ 내지 120℃ 정도가 되도록 온도 조절되고 있다. 한편, 포커스링은 소모부품이기 때문에, 기판 스테이지로부터 용이하게 착탈 가능한 구조로 하는 것이 많고, 진공분위기 중에서는 포커스링이 열적으로 뜬 상태가 된다. 이 때문에, 높은 웨이퍼 바이어스 조건을 사용하는 절연막 에칭에서는, 포커스링은 열이 퇴피할 곳이 없어 온도가 600℃ 내지 800℃에도 도달한다. 슈테판·볼츠만의 법칙에 의하면, 복사에 의한 에너지는 절대 온도의 4승에 비례하기 때문에, 웨이퍼 에지부의 온도는 포커스링으로부터의 복사열의 영향을 강하게 받게 된다.
또, 상기한 바와 같이 틸팅을 억제하기 위하여, 포커스링이 소모됨에 따라 포커스링에 인가하는 바이어스 전압비를 올리면, 포커스링의 온도도 함께 상승하고, 그 복사에 의해 웨이퍼 에지부의 온도도 상승하게 된다.
이와 같이, 웨이퍼 에지부의 온도가 상승하면, 웨이퍼 에지부에서의 에치 스토퍼의 발생, 마스크의 막힘 발생, 또는 마스크 선택비가 저하한다는 에칭 특성에 대한 치명적인 폐해가 발생하여, 에지부에서의 수율이 현저하게 저하할 염려가 크고, 장기에 걸쳐 웨이퍼 에지부에서 양호한 에칭 특성을 유지할 수 없을 가능성이 있다.
상기 특허문헌 2에 나타낸 바와 같이, 포커스링을 냉각하는 기술도 개시되어 있다. 그런데, 단지 포커스링를 냉각하고, 포커스링으로 분배하는 바이어스 전력을 제어한 것만으로는, 상기한 포커스링의 소모에 따르는 웨이퍼 에지부에서의 에칭 특성의 열화를 억제할 수는 없다. 포커스링 냉각에 의해 포커스링의 온도를 억제하였다 하여도, 장기간에 걸치는 포커스링의 온도 변화를 억제하는 것은 곤란하기 때문이다.
본 발명은, 상기 종래 기술의 단점을 감안하여, 포커스링의 소모에 의한 틸팅의 억제와, 포커스링의 온도 상승에 의한 에칭 특성의 악화 방지와의 상반되는 요구를 동시에 만족하는 플라즈마처리장치 및 플라즈마처리방법을 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위하여, 본 발명은, 진공배기수단에 의해 배기된 진공용기와, 진공용기에 가스를 공급하기 위한 가스공급수단과, 플라즈마를 생성하기 위한 고주파 전력 공급수단과, 피처리 기판과 이 기판의 바깥 둘레부에 배치된 포커스링을 탑재하는 기판 스테이지와, 상기 기판 스테이지에 고주파 바이어스 전력을 인가하는 고주파 바이어스 전원과, 상기 고주파 바이어스 전원으로부터 출력된 전력의 일부를 포커스링으로 분배하여 인가하는 전력 분배수단을 구비한 플라즈마처리장치에 있어서,
상기 포커스링의 이면에 열전달 가스를 도입하는 전열 가스 홈과, 그 아래쪽 으로 냉매를 흘리기 위한 냉매 홈을 상기 기판 스테이지에 형성하고, 상기 포커스링으로의 고주파 바이어스 전력의 인가시간을 기억하는 기억매체와, 이 기억된 인가 시간에 따라, 포커스링으로의 고주파 전력의 분배를 바꾸도록 상기 전력 분배수단을 제어함과 동시에, 상기 열전달 가스의 압력과 상기 냉매 온도의 적어도 한쪽을 제어하는 제어수단을 설치한 것을 특징으로 한다.
또, 상기한 플라즈마처리장치에서, 상기 포커스링의 하부에 정전 흡착층과 전극층 및 절연층을 일체로 형성하고, 상기 정전 흡착층과 포커스링의 사이에 상기열전달 가스 홈을 형성한 것을 특징으로 한다.
또, 상기한 플라즈마처리장치에 있어서, 상기 포커스링의 하부에 전극링과, 그 하부에 절연링을 구비하고, 상기 절연링의 상면에 용사(溶射)에 의해 정전 흡착층을 형성하고, 상기 포커스링 하면과 상기 정전 흡착층의 상면과의 사이, 상기 전극링 하면과 상기 절연링 상면과의 사이 및 상기 절연링 하면과 기판 스테이지의 기재 바깥 둘레부의 상면과의 사이에, 열전달 가스를 개재시키는 것을 특징으로 한다.
또, 상기한 플라즈마처리장치에 있어서, 상기 제어수단은 상기 포커스링으로 분배하는 전력에 대응하여, 열전달 가스의 압력을 제어하는 것을 특징으로 한다.
또, 상기한 플라즈마처리장치에 있어서, 상기 제어수단은 상기 포커스링으로 분배하는 전력에 대응하여, 상기 포커스링 하부로 흘리는 냉매의 온도를 제어하는 것을 특징으로 한다.
또, 상기한 플라즈마처리장치에 있어서, 상기 제어수단은 상기 포커스링으로 분배하는 전력에 대응하여, 열전달 가스의 압력과 포커스링 하부로 흘리는 냉매의 온도를 제어하는 것을 특징으로 한다.
또, 본 발명은, 진공용기 내에 가스를 공급하여 기판 스테이지에 탑재된 피처리 기판을 플라즈마처리하는 플라즈마처리방법에 있어서, 상기 기판 스테이지에는, 플라즈마생성용 고주파 전력과는 다른 소정의 고주파 바이어스 전력이 고주파 바이어스 전원으로부터 인가되고, 상기 피처리 기판의 주변에 배치된 포커스링에는, 상기 고주파 바이어스 전원으로부터 출력된 고주파 바이어스 전력이 전력 분배수단에 의해 분배하여 인가되고, 상기 플라즈마처리에 의한 상기 포커스링에 대한 고주파 바이어스 전력의 인가시간에 따라, 상기 포커스링에 인가하는 고주파 바이어스 전력을 상기 전력 분배수단을 제어함으로써 변화시키는 한편, 상기 기판 스테이지에 인가하는 상기 고주파 바이어스 전력은, 상기 고주파 바이어스 전원의 출력을 제어함으로써 제어되고, 상기 포커스링에 인가하는 고주파 바이어스 전력에 따라, 상기 포커스링이 소정 온도가 되도록 제어되는 것을 특징으로 한다.
본 발명에 의하면, 플라즈마처리의 진행에 따라 포커스링이 소모되었을 때에, 웨이퍼 에지부에서의 틸팅을 억제하기 위하여 포커스링에 인가하는 바이어스 전압을 상승시켜도, 포커스링의 온도를 억제하고 있기 때문에, 에칭 특성의 악화를 방지할 수 있다.
또한, 포커스링으로 분배하는 고주파 전력에 따라 열전달 가스의 압력이나 하부 전극 바깥 둘레부의 냉매 온도를 제어함으로써, 포커스링의 온도를 장기간에 걸쳐 세밀하게 제어할 수 있다,
이하, 도 1 내지 도 7을 이용하여 본 발명의 제 1 실시예를 설명한다. 도 1은 본 실시예에 의한 플라즈마처리장치의 종단면도, 도 2는 본 실시예에 의한 기판 스테이지의 바깥 둘레부에서의 종단면도, 도 3은 포커스링의 아래쪽에 설치한 전극 패턴과 전열 가스 구멍 패턴의 일례를 나타내는 평면도, 도 4는 포커스링 아래쪽에 설치한 전극층에 대한 급전부를 나타내는 종단면도이다.
도 1에서, 본 실시예에 의한 플라즈마처리장치는, 진공용기(1) 내에, 상부 전극(2)과, 샤워 플레이트(3)와, 절연부재(14, 15)와, 원반 형상의 피처리 웨이퍼(피처리 기판)(4)를 탑재하기 위한 기판 스테이지(5)가 설치되어 있다. 기판 스테이지(5)에는, 피처리 웨이퍼(4)의 바깥 둘레부에 대략 둥근 고리형상의 부재(포커스링)(51)가 탑재되어 있다. 또, 기판 스테이지(5)는, 피처리 웨이퍼(4)에 바이어스 고주파 전력을 공급하는 하부 전극을 겸용하고 있다.
또한, 진공용기(1)에는, 컨덕턴스 조정 밸브(6)와, 진공 배기계(7)가 설치되어 있다. 에칭 가스는, 가스 공급계(8)에 의해 원하는 유량으로 조절되고, 샤워 플레이트(3)를 거쳐 진공용기(1)로 도입된다. 플라즈마처리 중의 압력은, 상기한 컨덕턴스 조절 밸브(6)에 의하여 0.2 Pa 내지 20 Pa 정도의 원하는 압력으로 조절할 수 있다.
상부 전극(3)에는, 플라즈마생성용 고주파 전원(9)이 제 1 정합기(10)를 거쳐 접속되어 있고, 진공용기(1) 내에 플라즈마생성용의 고주파 전력을 공급한다. 진공용기 내(1)에 원하는 유량으로 가스를 도입하고, 원하는 압력으로 조압(調壓)한 후에, 플라즈마생성용 고주파 전원(9)으로부터 전력을 공급함으로써, 피처리 웨이퍼(4)의 상부에 플라즈마를 생성시킬 수 있다.
기판 스테이지(5)에는 전력 분배수단(13)과 제 2 정합기(12)를 거쳐 바이어스용 고주파 전원(11)이 접속되어 있다. 피처리 기판(웨이퍼)(4)의 상부에 플라즈마를 생성시킨 상태에서 기판(4)에 웨이퍼 바이어스를 인가함으로써, 플라즈마 중의 이온을 기판(4)으로 끌어들임으로써 미세한 패턴을 수직하게 가공할 수 있다. 전력 분배수단(13)은 바이어스용 전원(11)으로부터 공급되는 고주파 전력을, 웨이퍼(4)와 웨이퍼 바깥 둘레부에 설치한 대략 둥근 고리형상의 포커스링(51)에, 원하는 비율로 분배하는 역할을 담당하고 있고, 가변 용량의 콘덴서로 이루어져 있다. 또, 기판 스테이지(5)의 가장 바깥 둘레에는 석영 또는 고순도 알루미나 세라믹스 등의 파인세라믹스제의 서셉터(53)가 배치되어 있다. 이것에 의하여, 바이어스를 인가하였을 때에 기판 스테이지(5)의 측벽 등이 이온 충격에 의하여 소모되는 것을 방지할 수 있다.
201은 제어수단으로, 상기 포커스링(51)에 대한 고주파 바이어스 전력의 인가 시간을 기억하는 기억수단(201a)을 내장하고, 기억된 인가 시간에 따라 상기 바이어스 전원(11)의 출력을 제어함과 동시에, 상기 전력 분배수단(13)의 전력의 분배비율을 제어한다.
기판 스테이지(5)는, 웨이퍼(4)에 바이어스를 인가하는 것만이 아니라, 웨이퍼(4)를 스테이지(5)에 정전 흡착하고, 웨이퍼를 온도 조절하는 기능도 구비하고 있다. 이하, 도 2를 중심으로 기판 스테이지(5)의 구성을 상세하게 설명한다.
기판 스테이지(5)는, 알루미늄 또는 티탄 등의 도전성의 스테이지 기재(55)의 안 둘레부에 동심원 형상으로 냉매 홈(56)을 설치하고, 그 상부에 두께 20 ㎛ 내지 2000 ㎛ 정도의 제 1 정전 흡착층(59)을 일체로 형성한 구성으로 되어 있다. 냉매 홈(56)에는 온도 조절기(20)(도 1)가 접속되어 있고, 원하는 온도로 조절된 냉매를 순환시킴으로써, 스테이지 기재(55)의 안 둘레부 및 제 1 정전 흡착층(59)을 원하는 온도로 조절할 수 있다. 또, 스테이지 기재(55)에는 도시 생략한 직류 전원이 접속되어 있고, 수십 V 내지 수 kV의 전압을 인가함으로써, 피처리 웨이퍼(4)를 정전 흡착할 수 있도록 구성되어 있다. 정전 흡착막(59)은 알루미나, 알루미나/티타니아, 또는 이트리아 등의 용사에 의해 형성되어도 되고, 알루미나 또는 질화알루미나 등의 소결체를, 접착제, 납땜 등의 수단으로 스테이지 기재(55)에 접합하여도 상관없다.
플라즈마처리는, 0.2 Pa 내지 20 Pa 정도의 감압하에서 행하여지기 때문에, 기판 스테이지에 웨이퍼를 탑재하여 웨이퍼를 정전 흡착한 것만으로는 웨이퍼 자체의 온도 조절은 거의 할 수 없다. 그래서, 제 1 전열 가스 도입기구(21)(도 1)로부터 웨이퍼 이면으로 He 등의 전열 가스를, 0.5 kPa 내지 5 kPa 정도의 압력으로 도입함으로써, 웨이퍼와 정전 흡착층(59)과의 사이의 열전달율을 촉진시키고 있다. 제 1 정전 흡착층(59)의 상면에는 전열 가스를 웨이퍼 이면으로 균일하게 분산시키기 위하여, 깊이 20 ㎛ 내지 200 ㎛ 정도의 가스 홈(60)이 설치되어 있다.
또한, 기판 스테이지(5)의 바깥 둘레부의 상면에는, 피처리 웨이퍼(4)의 바 깥 둘레를 둘러 싸도록 Si 또는 SiC제의 포커스링(51)이 배치되어 있다. 포커스링(51)은 소모부품이 되기 때문에, 기판 스테이지(5)로부터 용이하게 착탈 가능하게 되어 있다. 포커스링(31)의 하부에는 제 2 정전 흡착층(54)을 거쳐 전극층(52)이 배치되어 있고, 전력 분배수단(13)(도 1)에 의해 웨이퍼 바이어스로서 공급되는 고주파 전력의 일부가 여기에 공급된다. 전극층(52)에 공급된 고주파 전력은, 정전 흡착층(54)을 거쳐 포커스링(51)에 인가된다.
제 2 정전 흡착층(54) 및 전극층(52)과, 스테이지 기재(55)의 바깥 둘레부의 사이에는, 제 1 절연층(62)이 배치되어 있다. 또, 포커스링(51) 및 정전 흡착층(54)과, 기재(55)의 사이에는, 제 2 절연층(61)이 배치되어 있다. 또, 포커스링이 용이하게 착탈 가능한 구조인 것에 대하여, 상기한 제 2 정전 흡착층(54), 전극층(52), 제 1 절연층(62)은 모두가 접합되어 일체 형성되어 있다. 이들 부재를 일체 형성하는 것의 목적은, 부재의 사이에 진공 단열층을 개재시키지 않고, 열의 전달율을 좋게 하여 열 이동을 방해하지 않는 것에 있다.
전극층(52)에는 도시 생략한 직류 전원이 접속되어 있고, 포커스링(51)을 정전 흡착할 수 있게 되어 있다. 정전 흡착층(54)의 상부에는 전열 가스 홈(63)이 설치되어 있고, 전열 가스 도입기구(23)(도 1)로부터 3개 내지 30개 정도의 복수의 알루미나제의 가스 도입 튜브(73)를 거쳐 포커스링(51)의 이면에 He 등의 전열 가스를 도입할 수 있는 구성으로 되어 있다. 이것에 의하여 포커스링(51)과 정전 흡착층(54) 사이의 열전달률을 촉진시킬 수 있다. 또한, 전열 가스 도입기구(23)는 제어수단(201)에 의해 전열 가스의 압력이 제어되고, 전열 가스 홈(63) 내의 가스 의 열의 전달율을 제어함으로써, 포커스링(51)의 소폭의 온도 제어가 이루어진다.
여기서, 도 3에, 제 1 절연층(62), 전극층(52) 및 절연 파이프(73)의 평면 내에서의 배치의 일례를 나타낸다. 본예에서는 가스 도입 튜브는, 전극층(52)을 피하도록, 둘레 방향 8군데에, 그 간격이 대략 균등해지도록 배치되어 있다. 가스 도입 튜브를 복수개 배치하는 것은, 포커스링(51) 하부로 도입하는 전열 가스의 둘레 방향의 압력차를 없애기 위함이다. 또, 가스 도입 튜브(73)를, 전극층(52)을 피하도록 배치함으로써, 당해 튜브 내에서의 이상 방전의 가능성을 내릴 수 있다. 또한, 도시는 생략하나, 가스 도입 튜브의 선단에 다공질 세라믹스를 배치함으로써, 이상 방전의 리스크를 더 내릴 수 있다. 또는, 가스 도입 튜브를, 직경 φ3 mm ~ 15 mm 정도의 외형에서 안쪽으로 φ 0.1 mm ~ φ 0.5 mm의 미세한 구멍을 수개 내지 수십개 정도 설치한 구조로 함으로써 이상 방전의 리스크를 더욱 내릴 수 있다.
다시 도 2로 되돌아가 설명을 계속한다. 포커스링(51), 제 2 정전 흡착층(54), 전극층(52) 및 제 1 절연층(62)의 아래쪽으로서, 스테이지 기재(55)의 바깥 둘레부에는, 제 2 냉매 홈(58)이 설치되어 있다. 제 2 냉매 홈(58)에는, 제 2 온도 조절기(22)(도 1)가 접속되어 있고, 원하는 온도로 조절된 냉매를 흘림으로써 스테이지 기재(55)의 바깥 둘레부를 온도 조절 가능하게 하고 있다. 플라즈마로부터 포커스링(51)으로의 입열(入熱)은, 포커스링(51), 정전 흡착층(54), 전극층(52) 및 제1 절연층(62)을 거쳐, 스테이지 기재(55)의 바깥 둘레부로 효율적으로 배출할 수 있다. 이 때문에, 포커스링을 효율적으로 냉각할 수 있다. 또한, 상기 온도 조절기(22)는, 상기 제어수단(201)에 의해 냉매의 온도가 제어되고, 스테이지 기재(55)의 바깥 둘레부의 큰 온도 제어에 적합하다.
또 냉매 홈을 56과 58의 2계통 설치함으로써, 피처리 웨이퍼(4)의 온도와 포커스링(51)의 온도를 독립으로 제어 가능하게 하고, 온도적으로 최적의 에칭조건으로 플라즈마처리를 행할 수 있다. 나아가서는, 제 1 냉매 홈(56)과 제 2 냉매 홈(58)과의 사이에, 진공 단열층(57)을 설치함으로써, 온도의 독립 제어성을 더욱향상시킬 수 있다. 진공 단열층을 생략하면, 온도의 독립 제어성은 약간 손상되나, 그 만큼 비용을 저감할 수 있는 것은 물론이다.
제 1 절연층(62) 및 제 2 절연층(61)은, 기재(55)와, 전극층(52), 정전 흡착층(54) 및 포커스링(51)과의 고주파적인 커플링을 작게 하는 역할을 담당하고 있다. 절연층의 재질로서는, 절연 내압이 높고, 열전도율이 비교적 높은 재질로, 또한 오염을 야기시키지 않는 재료인 질화알루미늄(AlN)이나 알루미나(A1203) 등의 재질이 바람직하다. 이들 절연층의 두께는 200 ㎛ 내지 30 mm의 사이에서 적절하게 선택된다. 이들 절연층이 200 ㎛ 이하이면, 기재(55)와, 전극층(52), 정전 흡착층(54) 및 포커스링(51)과의 고주파적인 커플링이 강해져, 포커스링(51)으로 분배하는 고주파 바이어스 전력의 제어성이 악화된다. 한편으로, 제 1 절연층(62)의 두께가 30 mm 이상이면, 제 1 절연층(62)에서의 열저항이 너무 커지기 때문에, 플라즈마로부터 포커스링(51)으로의 입열을, 스테이지 기재(55)로 퇴피하는 것이 어려워진다. 즉, 포커스링의 냉각이나 온도 제어가 곤란해진다.
본 실시예의 특징은, 지금까지 설명하여 온 바와 같이, 제 1 절연층(62)과, 전극층(52)과, 정전 흡착층(54)을, 스테이지 기재(55)와 일체가 되도록 형성하는 것에 있다. 나아가서는, 플라즈마처리 중에 포커스링(51)을 정전 흡착하고, 포커스링(51)과 정전 흡착층(54)과의 사이에 He 등의 전열 가스를 개재시키는 것에 있다. 이와 같은 구성으로 함으로써, 0.2 Pa 내지 20 Pa 정도의 감압 하에서도 포커스링(51)을 효율적으로 냉각, 온도 조절 가능하게 할 수 있다.
이하, 절연층(62)과, 전극층(52)과, 정전 흡착층(54)을, 스테이지 기재와 일체가 되도록 형성하는 순서의 일례를 설명한다.
먼저, 기재(55)의 바깥 둘레부에 제 1 절연층(62)을 형성한다. 제 1 절연층(61)은 두께 10 mm 정도의 대략 둥근 고리형상을 한 AlN의 소결체이고, 이것을 스테이지 기재에 접착제 또는 납땜 등의 수단으로 접합한다. 다음에 스테이지 기재(55)의 상부의 측벽에 제 2 절연층(62)을 알루미나 등의 용사에 의하여 1000 ㎛ 정도의 두께로 형성한다. 다음에 제 1 절연층(62)의 상부에 텅스텐을 용사함으로써 전극층(52)을 형성한다. 전극층(52)의 두께는 20 ㎛ 내지 500 ㎛ 정도이다. 이 값은, 텅스텐의 저항율에 의해 적절히 결정한다. 다음에 전극층(52) 및 제 1 절연층(62)의 상부에, 알루미나 또는 알루미나/티타니아 혼합물을 50 ㎛ 내지 1000 ㎛의 두께로 용사함으로써, 정전 흡착층(54)을 형성한다. 제일 마지막으로 정전 흡착층(54)의 상부에 연삭 또는 블러스트 처리로 깊이 20 ㎛ 내지 200 ㎛ 정도의 전열 가스 홈(63)을 형성한다.
또한, 지금까지 설명한 절연층과, 전극층과, 정전 흡착층을 스테이지 기재와 일체가 되도록 형성하는 순서는 어디까지나 일례이며, 다른 성막수단이나 접합수단 을 사용하여도 조금도 문제는 없다.
다음에 도 4를 이용하여 전극층(52)에 대한 급전방법의 일례를 나타낸다. 전극층(52)에 대한 급전은, 스테이지 기재(55) 및 제 1 절연층(62)에 관통구멍을 설치하여 두고, 그 속에 전기적인 절연을 취하기 위한 절연 파이프(70)를 매립한다. 이 파이프의 선단에 도전성의 소켓(71)을 매립하여 둔다. 이 소켓(71)의 상단이 제 1 절연층(62)의 상면에 노출되도록 배치하고, 그 위에 텅스텐을 용사함으로써 전극층(52)을 형성한다. 이에 의하여, 소켓(71)과 전극층(52)의 전기적 도통이 확실한 것이 된다.
또한, 도전 케이블(75)의 선단에 플러그(72)를 장착하고, 이것을 소켓(71)의 입구에 영합(迎合)하도록 삽입함으로써, 전극층(52)에 급전 가능해진다. 또, 상기한 구조로 함으로써, 도전 케이블(75)을 전극층(52)으로부터 용이하게 착탈 가능하게 할 수 있기 때문에, 메인티넌스성이나 조립성이 향상한다. 본 실시예에서는 급전부는 1 군데밖에 나타내고 있지 않으나, 급전하는 전력이 큰 경우에는, 2 군데 이상에서 급전하여도 상관없다.
지금까지 설명하여 온 기판 스테이지(5) 및 이것을 구비한 플라즈마처리장치를 사용함으로써, 포커스링(51)의 냉각 효율을 비약적으로 높일 수 있다. 포커스링(51)의 절대 온도를 낮게 함으로써, 포커스링(51)으로부터 웨이퍼 에지부에 대한 열 복사의 영향을 작게 할 수 있다. 이에 의하여, 플라즈마처리가 진행되어 시간의 경과와 함께 포커스링(51)이 소모되었을 때에, 웨이퍼 에지부에서의 틸팅을 보정하기 위하여 포커스링에 인가하는 바이어스 전압을 상승시키나, 이 바이어스 전 압의 상승에 의해 포커스링의 온도가 약간 상승하였다 하여도, 포커스링의 절대온도가 저하하도록 제어되기 때문에, 열 복사의 영향이 억제되고, 웨이퍼 에지부의 온도 상승을 억제할 수 있다.
또한, 본 실시예에서는, 상기한 바와 같이, 웨이퍼(4)의 온도와, 포커스링(51)의 온도를 독립으로 제어할 수 있다. 이에 의하여, 포커스링(51)의 온도 자체도 일정(소정범위 내)하게 유지할 수 있다. 즉, 포커스링(51)이 소모되어도, 장기간에 걸쳐, 웨이퍼 에지부에서의 에치 스톱이나 마스크 막힘을 억제할 수 있고, 나아가서는, 웨이퍼 에지부에서의 수율 저하를 장기간에 걸쳐 억제할 수 있다. 또한, 포커스링 자체의 온도를 저하함으로써, 포커스링의 소모속도를 억제할 수 있다. 이에 의하여 웨트 주기의 장시간화가 도모되고, 장치의 가동율이 향상하는 것을 기대할 수 있다.
다음에, 도 5에 나타내는 본 실시예의 대책이 없는 경우의, 방전시간[포커스링(51)에 대한 고주파 바이어스 전력의 인가시간]에 대한, 포커스링의 온도와 분배되는 고주파 바이어스 전력의 시퀀스도와, 도 6에 나타내는 본 실시예에서의, 방전시간[포커스링(51)에 대한 고주파 바이어스 전력의 인가시간]에 대한, 포커스링의 온도, 고주파 바이어스 전력, 전열 가스의 압력 및 냉매 온도의 시퀀스도를 사용하여 동작을 설명한다.
웨이퍼의 처리를 거듭하여, 포커스링(51)에 대한 고주파 바이어스 전력의 인가 시간이 길어지면(예를 들면 100 시간 단위), 포커스링(51)이 소모된다. 이 소모에 의한 웨이퍼 에지부에서의 틸팅을 보정하기 위하여, 포커스링(51)으로 분배되 는 바이어스 전압을 상승시킨다(도 5, 도 6, 최상단의 선). 도 5에서는, 바이어스 전압의 상승과 함께 포커스링(51)의 온도가 점차로 상승한다.
본 실시예의 도 6에서는, 포커스링(51)에 대한 고주파 바이어스 전력의 인가시간이 소정시간(100 시간)이 되면, 제어수단(201)의 지령에 의해 포커스링(51)으로 분배되는 바이어스 전압을 상승시키고, 동시에 전열 가스 홈(63)의 전열 가스 압력을 증가시켜, 포커스링의 하부로 흘리는 냉매 홈(58)의 냉매온도를 저하시킨다(모두 도 6의 중단의 선). 이에 의하여, 상승하고자 하는 포커스링(51)의 온도를 전열 가스와 냉매로 흡수함으로써 소정 내(일정)로 유지할 수 있다(도 6, 최하단의 선).
따라서, 포커스링(51)으로부터 웨이퍼 에지에 대한 복사열이 변화하지 않기 때문에 웨이퍼 에지부의 온도의 경시 변화를 억제할 수 있고, 웨이퍼 에지부의 에칭 특성의 열화를 억제할 수 있다. 또한, 상기에서 포커스링(51)으로 분배되는 바이어스 전압을 상승시킬 때에 있어서, 제어수단(201)의 지령에 의해 고주파 바이어스 전원(11)을 제어하여 전체의 바이어스 전력을 소정의 값으로 상승시킨다. 이것은, 포커스링에 대한 바이어스 전력의 증가분을 보충하여, 기판 스테이지(5)에 대한 인가 전력을 소정값 확보하여 에칭 특성을 유지하기 위함이다.
또한, 도시는 생략하나, 포커스링 하부의 열 전달 가스의 압력만을 상승시켜, 포커스링(51)으로부터 스테이지 기재(55)의 바깥 둘레부까지의 열 전달율을 올리는 것만으로도 작으면서도(미세 조정) 동일한 효과를 기대할 수 있다. 또, 도시는 생략하나, 제 2 냉매 홈(58)으로 흘리는 냉매의 온도만을 내리는 것만으로도, 작으면서도 동일한 효과를 기대할 수 있다.
또, 도 6에서는 포커스링(51)에 인가하는 고주파 바이어스 전력과, 냉매 온도를 계단형상으로 변경하고 있으나, 이들을 리니어하게 원활하게 제어하여도 동일한 효과를 기대할 수 있다. 또한 도시는 생략하였으나, 제 1 절연층(62)의 내부, 또는, 하부에, 형광 온도계나 Pt 센서 등의 온도 모니터수단을 설치하고, 관측되는 온도에 의한 피드백 제어를 행하여도 상관없다. 이와 같은 구성으로 함으로써 더욱 세밀한 온도 제어가 가능하게 되는 것은 물론이다.
다음에 도 7에, 도 6에서 설명한 제어수단(201)에 의한 제어동작의 플로우차트를 나타낸다.
단계 301의 초기상태부터 302의 누적 방전시간(플라즈마처리시간)이 소정시간 경과한 후에, 단계 3O3에서 포커스링의 소모량을 추정한다. 이것은 여러가지 조건으로 에칭을 행하는 경우에도 대응 가능하게 하기 위함이다. 에칭이 항상 동일한 조건으로 행하여지는 것이면 포커스링의 소모량의 추정은 필요없으나, 에칭 조건이 다르면, 포커스링의 소모 속도도 다르기 때문이다. 포커스링의 소모량의 추정은, 선행 출원에 기재되어 있으나, 에칭조건과 소모량을 미리 테이블에 기억하여 둠으로써 실현할 수 있다.
상기 단계 302에서의 누적 방전시간의 경과는, 제어수단(201)에 내장된 기억수단(201a)에 포커스링에 대한 고주파 바이어스 전력의 인가시간의 기억으로 파악하여 두고, 기억된 인가시간에 따라 단계 303, 304에서 포커스링의 소모량을 추정하여 그 양이 소정값을 넘었을 때 단계 305에서 제어수단(201)으로부터 각종 지령 을 발하여 각(各) 부를 제어한다.
먼저, (a) 상기 전력 분배수단(13)을 제어하여, 포커스링에 대한 바이어스 전력비를 소정값으로 상승시킨다.
다음에, (b) 고주파 바이어스 전원(11)을 제어하여 전체의 바이어스 전력을 소정의 값으로 상승시킨다. 이것은, 포커스링에 대한 바이어스 전력의 증가분을 보충하여, 기판 스테이지(5)에 대한 인가 전력을 소정값 확보하여 에칭 특성을 유지하기 위함이다.
이어서, (c) 포커스링으로 분배된 바이어스 전력에 대응하여 전열 가스 도입기구(23)를 제어하고, 전열 가스 홈(63)의 전열 가스 압력을 소정의 값으로 상승시킨다.
계속해서 (d) 포커스링으로 분배된 바이어스 전력에 대응하여 온도 조절기(22)를 제어하고, 제 2 냉매 홈(58)의 냉매 온도를 소정의 값으로 저하시킨다.
상기 (c)(d)에서의 전열 가스 압력과 냉매 온도는, 포커스링의 상승하고자 하는 온도분을 방열하기 위하여 설정되기 때문에, 상승하고자 하는 온도분의 근거가 되는 포커스링으로 분배된 바이어스 전력에 대응하여 제어가 이루어진다. 또한, 포커스링의 소모를 검지하기 위한 센서를 사용하면, 더욱 확실도가 높은 제어를 행할 수 있다.
다음에 도 8을 이용하여 본 발명의 제 2 실시예에 대하여 설명한다. 지금까지 설명한 부분과 중복되는 부분은 설명을 생략한다. 도 8은 본 발명의 제 2 실시형태에서의 기판 스테이지의 바깥 둘레부의 종단면도이다.
포커스링(51)의 하부에는 전극링(102) 및 절연링(101)이 배치되어 있다. 양 링에는 둘레방향에 걸쳐 복수부분의 관통구멍이 설치되어 있고, 복수의 절연볼트(103)로 스테이지 기재(55)의 바깥 둘레부에 체결하는 구조로 되어 있다. 또, 스테이지 기재(55)의 바깥 둘레부에도 복수의 관통구멍이 설치되어 있고, 당해 관통구멍에는 알루미나 세라믹스제의 복수의 전열 가스 도입 튜브(73)가 삽입되어 있다.
절연링(101)의 하면과 상면에는, 깊이 20 ㎛ 내지 200 ㎛ 정도의 전열 가스 홈(104, 108)이, 각각 형성되어 있다. 전열 가스 도입 튜브(73)로부터 도입된 전열 가스는 가스 홈(104)을 흘러, 둘레방향으로 균일하게 골고루 퍼지는 구조로 되어 있다. 또한, 전열 가스 홈(104, 108)은, 내경 φ0.2 mm 내지 φ2 mm 정도의 복수의 관통 가스 구멍(105)에 의해 접속되어 있고, 가스 홈(104)에 공급된 전열 가스는 관통 가스 구멍(105)을 통하여, 가스 홈(108)으로 균일하게 골고루 퍼지는 구조로 되어 있다. 또, 전열 가스 도입 튜브(73)와 관통 가스 구멍(105)은, 가스 구멍이나 가스 홈 내부에서의 이상 방전을 억지할 목적으로, 서로 기대할 수 없는 위치관계로 배치되어 있다.
절연링(101)은, 전극링(102)과 스테이지 기재(55)와의 고주파적인 커플링을 작게 하는 역할도 담당하고 있다. 절연링의 재질로서는, 절연 내압이 높고, 열 전도율이 비교적 높은 재질이며, 또한 오염을 야기하지 않는 재료인 질화알루미늄(AlN)이나 알루미나(A12O3) 등의 재질이 바람직하다.
전극링(102)에는, 내경 φ0.2 mm 내지 φ2 mm 정도의 복수의 관통 가스 구 멍(106)이 형성되어 있다. 또, 전극링(102)의 상면에는, 도시 생략하나, 알루미나또는 알루미나/티타니아 혼합물의 용사에 의하여 두께 200 ㎛ 내지 1000 ㎛ 정도의 정전 흡착막이 형성되어 있다. 또한, 정전 흡착막의 표면에는, 전열 가스 홈(107)이 형성되어 있다. 절연링(101)의 상면에 형성된 전열 가스 홈(108)으로 골고루 퍼진 전열 가스는, 전극링의 관통 가스 구멍(106)을 통하여 전열 가스 홈(107)으로 균일하게 골고루 퍼지는 구성으로 되어 있다. 관통 가스 구멍(l06, 105)은, 가스 구멍이나 가스 홈 내부에서의 이상 방전을 억지할 목적으로, 서로 어긋나 있는 위치관계로 배치되어 있다.
전극링(102)에는, 포커스링(51)에 고주파 바이어스를 인가하기 위하여, 전력 분배기구(13)(도 1)로부터의 출력이 접속되어 있다. 또, 포커스링(51)을 정전 흡착하기 위한 도시 생략한 DC 전원도 접속되어 있다. 전극링(102)의 재질은, 티탄 또는 알루미늄합금, 또는 저효율의 낮은 실리콘이나 탄화 실리콘(SiC) 등의 도전체이고, 또한, 오염을 야기하지 않는 재질이 바람직하다.
플라즈마를 생성한 상태에서 전극링에 수백 V 내지 수 kV의 DC 전압을 인가함으로써, 포커스링을 전극링에 정전 흡착할 수 있다. 이 상태에서 전열 가스 도입 튜브로부터 전열 가스를 도입함으로써, 포커스링(51)의 하면과 전극링(102)의 상면의 간극, 전극링 하면과 절연링(101)의 상면의 간극, 절연링의 하면과 스테이지 기재(55) 상면과의 간극, 모든 간극에 전열가스가 골고루 퍼져, 포커스링(51)을 효율적으로 냉각할 수 있다. 이에 의하여, 냉각하지 않았던 경우는 600℃ 내지 800℃나 되는 포커스링의 온도를, 400℃ 이하로 억제하는 것이 가능해진다. 이에 의하여, 포커스링으로부터 웨이퍼 에지에 대한 복사열의 영향을 작게 할 수 있다. 이에 따라, 포커스링이 소모되었을 때에 틸팅을 억제하기 위하여, 포커스링으로 분배하는 바이어스를 올렸을 때의 웨이퍼 에지부에서의 온도 상승을 억제할 수 있고, 웨이퍼 에지부에서의 에칭 특성의 열화를 억제할 수 있다.
이상, 본 발명에서의 기판 스테이지, 플라즈마처리장치 및 플라즈마처리방법의 실시예를, 상부 전극과 기판 스테이지에 각각 1대씩의 고주파 전원을 접속한 평행 평판 타입의 플라즈마원을 예로 설명하여 왔다. 단, 본 발명은, 플라즈마원의 종류에 의해 한정되는 것은 아니다. 즉, (1) 상부 전극에 2대 이상의 전원을 접속하는 타입, (2) 하부 전극에 2대 이상의 전원을 접속하는 타입, (3) 상기 타입의 조합 및 이들 자장에 의한 제어를 가하는 타입의, 어느 플라즈마원과 조합하여도 그 효과를 발휘할 수 있다.
도 1은 본 발명의 제 1 실시예를 나타내는 종단면도,
도 2는 본 발명에 의한 기판 스테이지의 바깥 둘레부에서의 종단면도,
도 3은 포커스링 아래쪽에 설치한 전극 패턴과 전열 가스 구멍 패턴의 일례를 나타내는 평면도,
도 4는 포커스링 아래쪽에 설치한 전극층에 대한 급전부를 나타내는 종단면도,
도 5는 종래예에서의 포커스링의 온도를 나타내는 그래프,
도 6은 본 발명에서의 시퀀스도와 포커스링의 온도를 나타내는 그래프,
도 7은 본 발명에서의 제어의 플로우차트,
도 8은 본 발명의 제 2 실시예에서의 기판 스테이지의 바깥 둘레부의 종단면도,
도 9는 정상적인 홀 가공을 설명하는 모식도,
도 10은 정상적인 홀 가공을 설명하는 모식도,
도 11은 홀 가공에서의 틸팅을 설명하는 모식도,
도 12는 홀 가공에서의 틸팅을 설명하는 모식도이다.
※ 도면의 주요부분에 대한 부호의 설명
1 : 진공용기 2 : 상부 전극
3 : 샤워 플레이트
4 : 피처리 웨이퍼(피처리 기판)
5 : 기판 스테이지(하부 전극) 6 : 컨덕턴스 조절 밸브
7 : 진공 배기계 8 : 가스 공급계
9 : 플라즈마생성용 고주파 전원 10: 제 1 정합기
11 : 고주파 바이어스 전원 12 : 제 2 정합기
13 : 전력 분배수단 20 : 제 1 온도 조절기
21 : 제 1 전열 가스 도입기구 22 : 제 2 온도 조절기
23 : 제 2 전열 가스 도입기구
51 : 대략 둥근 고리형상 부재(포커스링)
52 : 전극층 53 : 서셉터
54 : 제 2 흡착층 55 : 스테이지 기재
56 : 제 1 냉매 홈 57 : 진공 단열층
58 : 제 2 냉매 홈 59 : 제 1 정전 흡착층
60 : 전열 가스 홈 61 : 제 2 절연층
62 : 제 1 절연층 63 : 전열 가스 홈
70 : 절연 파이프 71 : 소켓
72 : 플러그
73 : 전연 가스 도입 튜브
75 : 도전 케이블 101 : 절연링
102 : 전극링 103 : 절연 볼트
104 : 전열 가스 홈 105 : 관통 가스 구멍
106 : 관통 가스 구멍 107 : 전열 가스 홈
108 : 절연 가스 홈 201 : 제어수단
201a : 기억매체

Claims (7)

  1. 진공 배기수단에 의해 배기된 진공용기와, 진공용기에 가스를 공급하기 위한 가스공급수단과, 플라즈마를 생성하기 위한 고주파 전원과, 피처리 기판과 이 기판의 바깥 둘레부에 배치된 포커스링을 탑재하는 기판 스테이지와, 상기 기판 스테이지에 고주파 바이어스 전력을 인가하는 고주파 바이어스 전원과, 상기 고주파 바이어스 전원으로부터 출력된 고주파 바이어스 전력의 일부를 포커스링으로 분배하여 인가하는 전력 분배수단을 구비한 플라즈마처리장치에 있어서,
    상기 포커스링의 이면에 열전달 가스를 도입하는 전열 가스 홈과, 그 아래쪽으로 냉매를 흘리기 위한 냉매 홈을 상기 기판 스테이지에 형성하고,
    상기 포커스링에 대한 고주파 바이어스 전력의 인가시간을 기억하는 기억매체와,
    이 기억된 인가시간에 따라, 포커스링에 대한 고주파 전력의 분배를 바꾸도록 상기 전력 분배수단을 제어함과 동시에, 상기 열전달 가스의 압력과 상기 냉매 온도의 적어도 한쪽을 제어하는 제어수단을 설치한 것을 특징으로 한 플라즈마처리장치.
  2. 제 1항에 있어서,
    상기 포커스링의 하부에 정전 흡착층과 전극층 및 절연층을 일체로 형성하고, 상기 정전 흡착층과 포커스링의 사이에 상기 열전달 가스 홈을 형성한 것을 특 징으로 한 플라즈마처리장치.
  3. 제 1항에 있어서,
    상기 포커스링의 하부에 전극링과, 그 하부에 절연링을 구비하고, 상기 절연 링의 상면에 용사에 의해 정전 흡착층을 형성하며, 상기 포커스링 하면과 상기 정전 흡착층의 상면과의 사이, 상기 전극링 하면과 상기 절연링 상면과의 사이 및 상기 절연링 하면과 기판 스테이지의 기재 바깥 둘레부의 상면과의 사이에, 열전달 가스를 개재시키는 것을 특징으로 한 플라즈마처리장치.
  4. 제 1항 내지 제 3항 중 어느 한 항에 있어서,
    상기 제어수단은 상기 포커스링으로 분배하는 전력에 대응하여, 열전달 가스의 압력을 제어하는 것을 특징으로 한 플라즈마처리장치.
  5. 제 1항 내지 제 3항 중 어느 한 항에 있어서,
    상기 제어수단은, 상기 포커스링으로 분배하는 전력에 대응하여, 상기 포커스링 하부로 흘리는 냉매의 온도를 제어하는 것을 특징으로 하는 플라즈마처리장치.
  6. 제 1항 내지 제 3항 중 어느 한 항에 있어서,
    상기 제어수단은 상기 포커스링으로 분배하는 전력에 대응하여, 열전달 가스 의 압력과 포커스링 하부로 흘리는 냉매의 온도를 제어하는 것을 특징으로 한 플라즈마처리장치.
  7. 진공용기 내에 가스를 공급하여 기판 스테이지에 탑재된 피처리 기판을 플라즈마처리하는 플라즈마처리방법에 있어서,
    상기 기판 스테이지에는, 플라즈마생성용 고주파 전원과는 다른 소정의 고주파 바이어스 전력이 고주파 바이어스 전원으로부터 인가되고,
    상기 피처리 기판의 주변에 배치된 포커스링에는, 상기 고주파 바이어스 전원으로부터 출력된 고주파 바이어스 전력이 전력 분배수단에 의해 분배되어 인가되고,
    상기 플라즈마처리에 의한 상기 포커스링에 대한 고주파 바이어스 전력의 인가시간에 따라, 상기 포커스링에 인가하는 고주파 바이어스 전력을 상기 전력 분배수단을 제어함으로써 변화시키는 한편,
    상기 기판 스테이지에 인가하는 상기 고주파 바이어스 전력은, 상기 고주파 바이어스 전원의 출력을 제어함으로써 제어되고,
    상기 포커스링에 인가하는 고주파 바이어스 전력에 따라, 상기 포커스링이 소정 온도가 되도록 제어되는 것을 특징으로 하는 플라즈마처리방법.
KR1020090074177A 2009-06-24 2009-08-12 플라즈마처리장치 및 플라즈마처리방법 KR101066974B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2009-149772 2009-06-24
JP2009149772A JP5357639B2 (ja) 2009-06-24 2009-06-24 プラズマ処理装置およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
KR20100138687A true KR20100138687A (ko) 2010-12-31
KR101066974B1 KR101066974B1 (ko) 2011-09-22

Family

ID=43379587

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090074177A KR101066974B1 (ko) 2009-06-24 2009-08-12 플라즈마처리장치 및 플라즈마처리방법

Country Status (3)

Country Link
US (1) US20100326957A1 (ko)
JP (1) JP5357639B2 (ko)
KR (1) KR101066974B1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130137959A (ko) 2012-06-08 2013-12-18 세메스 주식회사 기판처리장치
KR20130137962A (ko) 2012-06-08 2013-12-18 세메스 주식회사 기판처리장치
KR20140001540A (ko) 2012-06-27 2014-01-07 세메스 주식회사 기판처리장치
KR20170008138A (ko) * 2015-07-13 2017-01-23 램 리써치 코포레이션 에지-국부화된 이온 궤적 제어 및 플라즈마 동작을 통한 선단 에지 시스 및 웨이퍼 프로파일 튜닝
KR20190075783A (ko) * 2017-12-21 2019-07-01 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 플라스마 처리 방법
US10763138B2 (en) 2012-04-30 2020-09-01 Semes Co., Ltd. Adjustment plate and apparatus for treating substrate having the same

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
US8486221B2 (en) 2009-02-05 2013-07-16 Tokyo Electron Limited Focus ring heating method, plasma etching apparatus, and plasma etching method
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
JP5732941B2 (ja) * 2011-03-16 2015-06-10 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
JP5822578B2 (ja) * 2011-07-20 2015-11-24 東京エレクトロン株式会社 載置台温度制御装置及び基板処理装置
US9947559B2 (en) * 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
JP5982206B2 (ja) * 2012-07-17 2016-08-31 東京エレクトロン株式会社 下部電極、及びプラズマ処理装置
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
US10557190B2 (en) * 2013-01-24 2020-02-11 Tokyo Electron Limited Substrate processing apparatus and susceptor
US9236305B2 (en) * 2013-01-25 2016-01-12 Applied Materials, Inc. Wafer dicing with etch chamber shield ring for film frame wafer applications
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6173936B2 (ja) * 2013-02-28 2017-08-02 東京エレクトロン株式会社 載置台及びプラズマ処理装置
KR102112368B1 (ko) 2013-02-28 2020-05-18 도쿄엘렉트론가부시키가이샤 탑재대 및 플라즈마 처리 장치
JP6027492B2 (ja) * 2013-05-22 2016-11-16 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP6224366B2 (ja) * 2013-07-12 2017-11-01 東京エレクトロン株式会社 支持部材及び基板処理装置
JP6083529B2 (ja) * 2013-09-02 2017-02-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
CN106415779B (zh) * 2013-12-17 2020-01-21 东京毅力科创株式会社 用于控制等离子体密度的系统和方法
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
EP3167479B1 (en) 2014-07-08 2021-12-01 Watlow Electric Manufacturing Company Bonded assembly with integrated temperature sensing in bond layer
US9530626B2 (en) * 2014-07-25 2016-12-27 Tokyo Electron Limited Method and apparatus for ESC charge control for wafer clamping
CN104269370B (zh) * 2014-09-01 2017-05-17 上海华力微电子有限公司 改善晶圆边缘缺陷的装置
US10262886B2 (en) * 2014-09-30 2019-04-16 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR20240015167A (ko) 2014-10-17 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
JP5798677B2 (ja) * 2014-10-29 2015-10-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10109510B2 (en) * 2014-12-18 2018-10-23 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
US9909197B2 (en) * 2014-12-22 2018-03-06 Semes Co., Ltd. Supporting unit and substrate treating apparatus including the same
JP6346855B2 (ja) 2014-12-25 2018-06-20 東京エレクトロン株式会社 静電吸着方法及び基板処理装置
JP6452449B2 (ja) * 2015-01-06 2019-01-16 東京エレクトロン株式会社 載置台及び基板処理装置
JP6539113B2 (ja) 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
CN106856188B (zh) * 2015-12-08 2020-02-14 北京北方华创微电子装备有限公司 承载装置以及半导体加工设备
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
KR102604063B1 (ko) 2016-08-18 2023-11-21 삼성전자주식회사 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
US9922857B1 (en) 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
KR102581226B1 (ko) * 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
JP6340655B2 (ja) * 2017-01-10 2018-06-13 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6869034B2 (ja) * 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
JP6926225B2 (ja) * 2017-03-31 2021-08-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 処理チャンバにおける工作物における材料堆積防止
US10199252B2 (en) * 2017-06-30 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal pad for etch rate uniformity
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
JP6518024B1 (ja) * 2017-10-30 2019-05-22 日本碍子株式会社 静電チャック及びその製法
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
JP7033907B2 (ja) * 2017-12-21 2022-03-11 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
WO2019143473A1 (en) * 2018-01-22 2019-07-25 Applied Materials, Inc. Processing with powered edge ring
US11848223B2 (en) 2018-02-20 2023-12-19 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device and method for producing electrostatic chuck device
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7204350B2 (ja) * 2018-06-12 2023-01-16 東京エレクトロン株式会社 載置台、基板処理装置及びエッジリング
US10847347B2 (en) * 2018-08-23 2020-11-24 Applied Materials, Inc. Edge ring assembly for a substrate support in a plasma processing chamber
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
JP7140610B2 (ja) * 2018-09-06 2022-09-21 株式会社日立ハイテク プラズマ処理装置
JP7175160B2 (ja) * 2018-11-05 2022-11-18 東京エレクトロン株式会社 基板処理装置
JP7145042B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
JP7145041B2 (ja) 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) * 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
US11315759B2 (en) 2019-02-08 2022-04-26 Hitachi High-Tech Corporation Plasma processing apparatus
JP2020155489A (ja) * 2019-03-18 2020-09-24 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
US11450545B2 (en) * 2019-04-17 2022-09-20 Samsung Electronics Co., Ltd. Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same
WO2020255319A1 (ja) 2019-06-20 2020-12-24 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
KR102200315B1 (ko) * 2019-07-29 2021-01-08 세메스 주식회사 기판 지지 장치 및 이를 포함하는 기판 처리 장치
JP7394556B2 (ja) * 2019-08-09 2023-12-08 東京エレクトロン株式会社 載置台及び基板処理装置
JP7365815B2 (ja) * 2019-08-09 2023-10-20 東京エレクトロン株式会社 載置台及び基板処理装置
CN112435912B (zh) * 2019-08-26 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112466735A (zh) * 2019-09-09 2021-03-09 东京毅力科创株式会社 基片支承器和等离子体处理装置
JP7373963B2 (ja) * 2019-10-01 2023-11-06 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
WO2021124470A1 (ja) * 2019-12-18 2021-06-24 株式会社日立ハイテク プラズマ処理装置
KR20210125155A (ko) * 2020-04-07 2021-10-18 삼성디스플레이 주식회사 표시 장치의 제조방법
KR102495233B1 (ko) * 2020-07-03 2023-02-06 주식회사 동원파츠 정전척
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
TW202329192A (zh) * 2021-08-27 2023-07-16 日商東京威力科創股份有限公司 基板支持器及電漿處理裝置
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024075423A1 (ja) * 2022-10-07 2024-04-11 東京エレクトロン株式会社 基板処理システム及びエッジリングの取り付け方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3066007B2 (ja) * 1998-06-24 2000-07-17 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
JP2000183038A (ja) 1998-12-14 2000-06-30 Hitachi Ltd プラズマ処理装置
US20040261945A1 (en) * 2002-10-02 2004-12-30 Ensinger Kunststofftechnoligie Gbr Retaining ring for holding semiconductor wafers in a chemical mechanical polishing apparatus
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
JP2006216822A (ja) 2005-02-04 2006-08-17 Hitachi High-Technologies Corp ウェハ処理装置およびウェハ処理方法
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
JP2006351887A (ja) * 2005-06-17 2006-12-28 Hitachi High-Technologies Corp プラズマ処理装置
JP4972327B2 (ja) 2006-03-22 2012-07-11 東京エレクトロン株式会社 プラズマ処理装置
JP2007258500A (ja) * 2006-03-24 2007-10-04 Hitachi High-Technologies Corp 基板支持装置
JP2008251866A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマ処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10763138B2 (en) 2012-04-30 2020-09-01 Semes Co., Ltd. Adjustment plate and apparatus for treating substrate having the same
KR20130137959A (ko) 2012-06-08 2013-12-18 세메스 주식회사 기판처리장치
KR20130137962A (ko) 2012-06-08 2013-12-18 세메스 주식회사 기판처리장치
KR20140001540A (ko) 2012-06-27 2014-01-07 세메스 주식회사 기판처리장치
KR20170008138A (ko) * 2015-07-13 2017-01-23 램 리써치 코포레이션 에지-국부화된 이온 궤적 제어 및 플라즈마 동작을 통한 선단 에지 시스 및 웨이퍼 프로파일 튜닝
KR20190075783A (ko) * 2017-12-21 2019-07-01 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 플라스마 처리 방법

Also Published As

Publication number Publication date
US20100326957A1 (en) 2010-12-30
KR101066974B1 (ko) 2011-09-22
JP2011009351A (ja) 2011-01-13
JP5357639B2 (ja) 2013-12-04

Similar Documents

Publication Publication Date Title
KR101066974B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
US8520360B2 (en) Electrostatic chuck with wafer backside plasma assisted dechuck
JP6717985B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
US9412555B2 (en) Lower electrode assembly of plasma processing chamber
US8696862B2 (en) Substrate mounting table, substrate processing apparatus and substrate temperature control method
JP4819411B2 (ja) プラズマ処理装置
US20100203736A1 (en) Plasma Processing Method
JP2022020732A (ja) 極めて均一性が高い加熱基板支持アセンブリ
EP2390897A2 (en) Plasma processing apparatus
JP2019500751A (ja) 静電チャック機構および半導体処理装置
WO2008048543A1 (en) Upper electrode backing member with particle reducing features
KR102089949B1 (ko) 기판 처리 장치 및 기판 처리 장치의 부품
US20090242133A1 (en) Electrode structure and substrate processing apparatus
JP2023517716A (ja) 基板処理チャンバにおける処理キットのシース及び温度制御
WO2020185395A1 (en) Electrostatic chuck for high bias radio frequency (rf) power application in a plasma processing chamber
US20200395197A1 (en) Adjustable thermal break in a substrate support
CN111048462B (zh) 基板支承装置及其制造方法
JP2022542090A (ja) プロセスキットのシース及び温度制御
TW202135219A (zh) 雙極靜電吸盤上的邊緣均勻性調諧
JP2011171763A (ja) 電極アッセンブリ及びプラズマ処理装置
JP2005347400A (ja) 静電吸着装置およびプラズマ処理装置
US20230068968A1 (en) Electrostatic chuck and processing apparatus
US11515193B2 (en) Etching apparatus
KR20110083979A (ko) 플라즈마 처리 장치
US20230060901A1 (en) Supporting unit and apparatus for treating substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140826

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150820

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170823

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180904

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190829

Year of fee payment: 9