TWI776874B - 具有電極燈絲的電漿反應器 - Google Patents

具有電極燈絲的電漿反應器 Download PDF

Info

Publication number
TWI776874B
TWI776874B TW107110646A TW107110646A TWI776874B TW I776874 B TWI776874 B TW I776874B TW 107110646 A TW107110646 A TW 107110646A TW 107110646 A TW107110646 A TW 107110646A TW I776874 B TWI776874 B TW I776874B
Authority
TW
Taiwan
Prior art keywords
plasma
chamber
plasma reactor
filaments
top plate
Prior art date
Application number
TW107110646A
Other languages
English (en)
Other versions
TW201903819A (zh
Inventor
肯尼士S 柯林斯
麥可R 萊斯
卡提克 拉馬斯瓦米
詹姆士D 卡度希
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201903819A publication Critical patent/TW201903819A/zh
Application granted granted Critical
Publication of TWI776874B publication Critical patent/TWI776874B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/3277Continuous moving of continuous material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

電漿反應器包括具有提供電漿腔室的內部空間及具有頂板的腔室主體、用於將處理氣體輸送至電漿腔室的氣體分配器、耦接至電漿腔室以抽空腔室的泵、保持工件面向頂板的工件支撐件、包括絕緣框架及在頂板及工件支撐件之間橫向延伸穿過電漿腔室的燈絲的腔內電極組件(燈絲包括至少部分地由從絕緣框架所延伸之絕緣外殼圍繞的導體),及向腔內電極組件的導體供應第一RF功率的第一RF電源。

Description

具有電極燈絲的電漿反應器
本申請案與(例如)用於在如半導體晶圓的工件上沉積膜、蝕刻該工件或處理該工件的電漿反應器相關。
通常使用電容耦合電漿(CCP)源或電感耦合電漿(ICP)源來產生電漿。基本的CCP源包含兩個金屬電極,該兩個金屬電極在類似於平行板電容器的氣體環境中以小距離分開。兩個金屬電極中之一者由固定頻率的射頻(RF)電源驅動,及另一個電極連接至RF接地,而在兩個電極之間產生RF電場。產生的電場使氣體原子電離,釋放了電子。氣體中的電子被RF電場加速及藉由碰撞來直接或間接地電離氣體,產生了電漿。
基本的ICP源通常包含螺旋形或線圈形的導體。當RF電流流過導體時,在導體周圍形成RF磁場。RF磁場伴隨著RF電場,該RF電場使氣體原子電離並產生電漿。
各種處理氣體的電漿廣泛用於積體電路的製造。電漿可用於(例如)薄膜沉積、蝕刻及表面處理。
原子層沉積(ALD)為基於氣相化學處理的順序使用的薄膜沉積技術。一些ALD處理使用電漿以為化學反應提供必要的活化能。電漿增強ALD處理可在比非電漿增強(例如,「熱」)ALD處理更低的溫度下進行。
在一態樣中,電漿反應器包括:具有提供電漿腔室的內部空間及具有頂板的腔室主體、用於將處理氣體輸送至電漿腔室的氣體分配器、耦接至電漿腔室以抽空腔室的泵、保持工件面向頂板的工件支撐件、包括絕緣框架及在頂板及工件支撐件之間橫向延伸穿過電漿腔室的燈絲的腔內電極組件(燈絲包括至少部分地由從絕緣框架所延伸之絕緣外殼圍繞的導體),及向腔內電極組件的導體供應第一RF功率的第一RF電源。
實施可包括一或多個以下特徵。
絕緣外殼可為圓柱形外殼,該圓柱形外殼圍繞電漿腔室內的整個導體且沿著該電漿腔室內的整個導體延伸。絕緣外殼由矽或氧化物、氮化物或碳化物材料或上述組合形成。絕緣外殼可由二氧化矽、藍寶石或碳化矽形成。絕緣外殼可為導體上的塗層。圓柱形外殼可形成通道,且導體可懸掛在該通道中並延伸穿過該通道,或導體可具有中空通道。流體供應器可經配置成使一流體循環通過該通道。流體可包含一非氧化性氣體。熱交換器可經配置成從流體中去除熱量或向流體提供熱量。
腔內電極組件可具有複數個共面燈絲,該複數個共面燈絲在頂板及工件支撐件之間橫向延伸穿過電漿腔室。複數個共面燈絲可均勻地間隔開。共面燈絲及工件支撐表面之間的表面間距可在2mm至25mm的範圍中。複數個共面燈絲可包括線性燈絲。複數個共面燈絲可平行延伸通過電漿腔室。複數個共面燈絲可均勻地間隔開。
外殼可熔合至絕緣框架上。外殼及絕緣框架可為相同的材料組成。絕緣框架可由二氧化矽或陶瓷材料形成。
在另一態樣中,電漿處理器包括:具有提供電漿腔室的內部空間、頂板及保持頂部電極的絕緣支撐件的腔室主體、用於將處理氣體輸送至該電漿腔室的氣體分配器、耦合至該電漿腔室以抽空該腔室的泵、用於保持工件面向該頂部電極的工件支撐件、包括在該頂部電極及該工件支撐件之間橫向延伸穿過該電漿腔室的燈絲的腔內電極組件(該燈絲包括至少部分地由從該絕緣框架延伸的絕緣外殼圍繞的導體),及用於向該腔內電極組件的導體供應第一RF功率的第一RF電源。
實施可包括一或多個以下特徵。
頂部電極可由矽、碳或上述組合形成。絕緣框架可為氧化物、氮化物或上述組合。絕緣框架可由氧化矽、氧化鋁或氮化矽形成。
在另一態樣中,電漿反應器包括:具有提供電漿腔室的內部空間及具有頂板的腔室主體、用於將處理氣體輸送至該電漿腔室的氣體分配器、耦合至該電漿腔室以抽空該腔室的泵、用於保持工件的工件支撐件、包括絕緣框架及燈絲(該燈絲包括從該頂板向下延伸的第一部分及在該頂板及該工件支撐件之間橫向延伸穿過該電漿腔室的第二部分,該燈絲包括至少部分地由絕緣外殼圍繞的導體)的腔內電極組件,及用於向該腔內電極組件的該導體供應第一RF功率的第一RF電源。
實施可包括一或多個以下特徵。
腔內電極組件可包括複數個燈絲。每個燈絲可包括從頂板向下延伸的第一部分及在該頂板及工件支撐件間橫向延伸穿過電漿腔室的第二部分。複數個燈絲的第二部分可為共面。複數個燈絲的第二部分可均勻地間隔開。複數個燈絲的第二部分可為線性。
支撐件可包括向下突出的側壁,該側壁圍繞頂板及燈絲的第二部分之間的體積。側壁可由氧化矽或陶瓷材料形成。頂板可包括絕緣框架,及細絲可延伸出絕緣框架。外殼可熔合至框架。外殼及支撐件可具有相同的材料組成。絕緣框架可由二氧化矽或陶瓷材料形成。
在另一態樣中,電漿反應器包括:具有提供電漿腔室的內部空間及具有頂板的腔室主體、用於將處理氣體輸送至該電漿腔室的氣體分配器、耦合至該電漿腔室以抽空腔室的泵、用於保持工件的工件支撐件及腔內電極組件。腔內電極組件包括絕緣框架、第一複數個共面燈絲及第二複數個共面燈絲,該第一複數個共面燈絲沿著第一方向在頂板及工件支撐件之間橫向延伸穿過電漿腔室,該第二複數個共面燈絲沿著垂直於該第一方向的第二方向平行延伸穿過電漿腔室。第一複數個燈絲及第二複數個燈絲中的每一個燈絲包括至少部分地由絕緣外殼圍繞的導體。第一RF電源向腔內電極組件的導體供應第一RF功率。
某些實施可具有以下一或多個優勢。電漿均勻性可得到改善。電漿處理的可重複性可得到改善。可減少金屬污染。可減少微粒的生成。可降低電漿充電損壞。可在不同的過程操作條件下保持電漿的均勻性。電漿功率耦合效率可得到改善。
在附加圖式及下文敘述中闡述了本發明的一或多個實施例的細節。從說明書、附加圖式及申請專利範圍中,本發明的其他特徵、目的及優勢將顯而易見。
一般的CCP源中的電漿均勻性通常由(多個)電極尺寸及電極間距、氣壓、氣體組成及所施加的RF功率來決定。在較高的射頻下,附加效應可能變得顯著或甚至主導由於駐波或趨膚效應的存在所導致的非均勻性。在更高的頻率及電漿密度下,此種附加效應變得更加明顯。
一般的ICP源中的電漿均勻性通常由ICP(多個)線圈的配置(包括其尺寸、幾何形狀、與工件的距離及相關的RF窗口位置)、氣體壓力、氣體組成及功率來決定。在多個線圈或線圈段的情況下,電流或功率分佈及其相對相位(若以相同頻率驅動)亦可為重要因素。由於趨膚效應,功率沉積傾向於發生在ICP線圈下方或附近數公分內;此種局部功率沉積通常導致反映線圈幾何形狀的處理不均勻性。此種電漿不均勻性導致工件上的電位差,這亦會導致電漿充電損壞(例如,電晶體閘極介電質破裂)。
通常需要很大的擴散距離來提高ICP源的均勻性。然而,由於低功率耦合,具有厚RF窗口的傳統ICP源在高氣壓下通常效率低,此導致高驅動電流而導致了高電阻功率損耗。對之,腔內電極組件不需具有RF窗口,而只需薄的圓柱形外殼。此可提供更好的功率耦合及效率。
在具有移動的工件支撐件的電漿腔室中,移動的工件支撐件可通過(例如)旋轉水銀耦合器、刷子或滑環來DC接地。然而,移動的工件支撐件可能無法在無線電頻率下充分接地。RF接地路徑的阻抗實質上應低於電漿(因其為足夠的RF接地)。缺少足夠的RF接地路徑可能會使得難以控制工件處的離子能量並降低處理的可重複性。
因此需要具有以下性質的電漿源:其可有效地在工件尺寸上產生具有期望性質(電漿密度、電子溫度、離子能量及離解等)的均勻電漿;調節操作窗口內的均勻性(例如,壓力、功率及氣體成分);即使移動工件也能保持穩定且可重複的電氣效能;及避免過量的金屬污染物或微粒。腔內電極組件能更好地提供此些性質中的一或多者。
圖1為電漿反應器的範例的示意性側視圖。電漿反應器100具有作為電漿腔室之圍繞內部空間104的腔室主體102。內部空間104可為(例如)用於處理圓形半導體晶圓的圓柱形。腔室主體102具有位於電漿反應器100的頂板附近的支撐件106,該支撐件106支撐頂部電極108。頂部電極可懸掛在內部空間104內並與頂板間隔開、鄰接頂板或形成一部分的頂板。腔室主體102的側壁的一些部分可獨立於頂部電極108而接地。
氣體分配器110位於電漿反應器100的頂板附近。在一些實施方式中,氣體分配器110與頂部電極108成一體而為單個元件。氣體分配器110連接至氣體供應器112。氣體供應器112將一或多種處理氣體輸送至氣體分配器110,該一或多種處理氣體的組成可取決於待執行的處理(例如,沉積或蝕刻)。真空泵113耦接至內部空間104以將電漿反應器抽空。對於一些處理來說,在Torr範圍內操作腔室,及氣體分配器110供應氬氣、氮氣、氧氣及/或其他氣體。
用於支撐工件115的工件支撐基座114位於電漿反應器100中。工件支撐基座114具有面向頂部電極108的工件支撐表面114a。
在一些實施方式中,工件支撐基座114包括在工件支撐基座114內的工件支撐電極116。在一些實施方式中,工件支撐電極116可接地或連接至接地的阻抗或電路。在一些實施方式中,RF偏置功率產生器142通過阻抗匹配144耦合至工件支撐電極116。工件支撐電極116可額外地包括靜電吸盤,及工件偏置電壓源118可連接至工件支撐電極116。RF偏置功率產生器142可用於產生電漿、控制電極電壓或電極鞘層電壓,或控制電漿的離子能量。
另外,基座114可具有用於加熱或冷卻工件115的內部通道119。在一些實施方式中,嵌入式電阻加熱器可設置在基座內部(例如,內部通道119內)。
在一些實施方式中,通過來自位於底部內部空間132內的加熱元件及/或基座114上或嵌入基座114中的電阻式加熱器的輻射及/或對流加熱來加熱工件支撐基座114。
腔內電極組件120位於頂部電極108及工件支撐基座114之間的內部空間104中。此電極組件120包括一或多個燈絲400,該一或多個燈絲400在腔室中橫向延伸超過基座114的支撐表面114a。在基座114上的電極組件120的至少一部分燈絲平行於支撐表面114a延伸。頂部間隙130形成在頂部電極108及腔內電極組件120之間。底部間隙132形成在工件支撐基座114及腔內電極組件120之間。
電極組件120由RF電源122驅動。RF電源122可用1MHz至超過300MHz的頻率向電極組件120的一或多個燈絲供電。對於一些處理來說,RF電源122在60MHz的頻率下提供總RF功率100W至大於2kW。
在一些實施方式中,可能意欲選擇底部縫隙132以使得電漿產生的自由基、離子或電子與工件表面相互作用。縫隙的選擇取決於應用及操作方案。對於意欲將自由基通量(但非常低的離子/電子通量)傳遞至工件表面的一些應用來說,可選擇在較大縫隙及/或較高壓力下的操作。對於意欲將自由基通量及基本的電漿離子/電子通量傳遞至工件表面的其它應用來說,可選擇在較小縫隙及/或較低壓力下的操作。例如,在一些低溫電漿增強ALD處理中,處理氣體的自由基對於ALD膜的沉積或處理是必需的。自由基為具有不成對價電子的原子或分子。自由基通常對其他物質有高度的化學反應性。自由基與其他化學物質的反應通常在薄膜沉積中起重要作用。然而,自由基由於其高度的化學反應性而通常是短命的,因此在其一生中不能被傳輸得很遠。將自由基源(即,作為電漿源的腔內電極組件120)放置在工件115的表面附近可增加自由基向表面的供應,而改善了沉積過程。
自由基的壽命通常取決於周圍環境的壓力。因此,提供令人滿意的自由基濃度之底部縫隙132的高度可取決於操作期間的預期腔室壓力而改變。在一些實施方式中,若要在1-10Torr範圍內的壓力下操作腔室,則底部縫隙132小於1cm。
在其他(較)低溫電漿增強ALD處理中,對於ALD膜的沉積及處理來說,暴露於電漿離子通量(及伴隨的電子通量)及自由基通量可為必需的。在一些實施方式中,若將在1-10Torr的範圍內的壓力下操作腔室,則底部縫隙132小於5cm─例如,2-25mm(例如,5mm)。較低的操作壓力可在較大的縫隙處操作,這是由於相對於距離之較低的體積複合率。在其他應用中(如蝕刻),通常使用較低的操作壓力(小於100mTorr),縫隙可增加。
在底部縫隙132較小的此類應用中,由電極組件120產生的電漿可在燈絲之間具有顯著的不均勻性,這可對工件的處理均勻性有害。藉由將工件移動通過具有空間非均勻性的電漿,而可藉由時間平均效應來減輕電漿空間不均勻性對過程的影響;即,在單次穿過電漿後由工件的任何給定區域所接收的累積電漿量基本上相似。
可選擇足夠大的頂部縫隙,以便在腔內電極組件及頂部電極(或腔室的頂部)之間形成電漿。在一些實施方式中,若將在1-10Torr的範圍內的壓力下操作腔室,則頂部縫隙130可在0.5-2cm之間(例如,1.25cm)。
可用各種方式配置頂部電極108。在一些實施方式中,頂部電極連接至RF接地140。在一些實施方式中,頂部電極為電隔離的(「浮置(floating)」)。在一些實施方式中,頂部電極108經偏置為偏置電壓。偏置電壓可用於控制所產生電漿之包括離子能量的性質。在一些實施方式中,頂部電極108由RF信號驅動。例如,相對於已接地的工件支撐電極116來驅動頂部電極108可增加工件115處的電漿電位。所增加的電漿電位可導致離子能量增加至期望值。
頂部電極108可由不同的處理兼容材料形成。處理可計算性的各種條件包括材料對處理氣體的蝕刻的抵抗性及對來自離子轟擊之濺射的抵抗性。此外,在材料確實被蝕刻的情況下,處理相容材料較佳地形成揮發性或氣態的化合物,該化合物可藉由真空泵113被抽空且不會形成可能污染工件115的微粒。因此,在一些實施中,頂部電極由矽製成。在一些實施方式中,頂部電極由碳化矽製成。在一些實施方式中,頂部電極由碳基材料製成。
在一些實施方式中,可省略頂部電極108。在此實施方式中,RF接地路徑可由工件支撐電極、或電極組件120之共面燈絲的子集腔室壁或其他與電漿連通之以地面為基準的表面來提供。
在一些實施方式中,流體供應器146使流體通過腔內電極組件120循環。在一些實施方式中,熱交換器148耦接至流體供應器146以去除熱或向流體供應熱。
取決於腔室配置及所供應的處理氣體,電漿反應器100可提供ALD設備、蝕刻設備、電漿處理設備、電漿增強化學氣相沉積設備、電漿摻雜設備或電漿表面清潔設備。
圖2為電漿反應器200的另一個範例的示意圖。在此例子中,電漿反應器與圖1相同,除了以下所描述的之外:腔內電極組件120被彎曲以由支撐件106支撐,及流體供應器146可通過支撐件106耦接至腔內電極組件120。對之,在圖1的範例中,電極組件的燈絲可從腔室主體102的側壁露出並由其支撐。
圖3為根據圖2之腔內電極組件的範例的透視圖。圖3示出了支撐件106、頂部電極108、頂部間隙130及腔內電極組件120。腔內電極組件120包括橫向延伸通過電漿腔室的一或多個燈絲400。燈絲包括在基座114上方延伸的中心部分312(見圖2)及向上彎曲以從支撐件106支撐的端部部分314。此構造可提供燈絲自電漿反應器100的頂部的緊湊安裝及可接近性。
圖4A至圖4C為腔內電極組件之燈絲的各種範例的示意圖。參考圖4A,示出了腔內電極組件120的燈絲400。燈絲400包括導體410及圍繞導體410及沿導體410延伸的圓柱形外殼420。通道430由導體410及圓柱形外殼420之間的縫隙形成。圓柱形外殼420由與處理兼容的非金屬材料形成。在一些實施方式中,圓柱形外殼是半導的。在一些實施方式中,圓柱形外殼是絕緣的。
導體410可由各種材料形成。在一些實施方式中,導體410為實心線,例如直徑為0.063’’的單根實心線。作為替代地,可由多股絞合線來提供導體410。在一些實施方式中,導體包含3根平行的0.032’’的絞合線。多股絞合線可通過趨膚效應來減少RF功率損耗。Litz線可進一步降低趨膚效應。
使用具有高導電率(例如,高於107 西門子/ m)的材料,這可降低電阻功率損耗。在一些實施方式中,導體410由銅或銅合金製成。在一些實施方式中,導體由鋁製成。
不意欲的材料濺射或蝕刻會導致處理污染或微粒形成。無論腔內電極組件120作為CCP源或是ICP源,都可發生不意欲的濺射或蝕刻。可由電極表面處的過量離子能量而引起不意欲的濺射或蝕刻。當作為CCP源來操作時,電極殼周圍的振盪電場對於驅動電漿放電是必需的。此種振盪導致材料的濺射或蝕刻,因所有已知材料的濺射能量閾值皆低於CCP源之相應的最小工作電壓。當作為ICP源來操作時,燈絲400與電漿的電容耦合在附近表面處產生振盪電場,此亦導致材料的濺射。可藉由使用用於暴露於內部空間104的燈絲400的外表面(例如,圓柱形外殼420)的處理兼容材料來減輕由不意欲的材料濺射或蝕刻所導致的問題。
在一些實施方式中,圓柱形外殼420由如矽的處理兼容材料(例如,高電阻率矽、氧化物材料、氮化物材料、碳化物材料、陶瓷材料或上述組合)形成。氧化物材料的範例包括二氧化矽(例如,二氧化矽及石英)及氧化鋁(例如,藍寶石)。碳化物材料的範例包括碳化矽。氮化物材料的例子包括氮化矽。對於某些包括含氟環境或含氟碳環境的化學環境來說,陶瓷材料或藍寶石可為意欲的。在含有氨、二氯矽烷、氮及氧的化學環境中,使用矽、碳化矽或石英可為意欲的。
在一些實施方式中,圓柱形外殼420具有0.1至3mm(例如,1mm)的厚度。外殼420可具有2-4mm(例如2mm)的內徑。
在一些實施方式中,在通道430中提供流體。在一些實施方式中,流體是淨化氧氣的非氧化性氣體,以減輕導體410的氧化。非氧化性氣體的範例為氮氣及氬氣。在一些實施方式中,非氧化性氣體(例如)藉由流體供應器146而連續地流過通道430,以去除殘餘的氧或水蒸氣。
導體410的加熱可使導體更易於氧化。流體可提供冷卻至導體410,可從供應的RF功率來加熱該導體410。在一些實施方式中,流體(例如)藉由流體供應器146通過通道430循環,以提供強制對流溫度控制(例如,冷卻或加熱)。
在一些實施方式中,流體可處於或高於大氣壓以防止流體迸裂。此可防止不需要的電漿形成在管中。通道430中的壓力可為至少100 Torr。
參考圖4B,在燈絲400的一些實施方式中,導體410具有塗層420。在一些實施方式中,塗層420為形成導體的材料的氧化物(例如,鋁導體上的氧化鋁)。在一些實施方式中,塗層420為二氧化矽。在一些實施方式中,(例如)藉由矽烷、氫及氧的反應形成二氧化矽塗層來在電漿反應器100中原位形成塗層420。原位塗覆可為有益的,因其可在蝕刻或濺射時補充。塗層可為0.1-10微米厚。
參考圖4C,在燈絲400的一些實施方式中,導體410為中空的,及中空導管440形成在導體410內。在一些實施方式中,中空導管440可承載如圖4A所述的流體。導體可為外徑約為1-4mm(例如,2mm)、壁厚為0.25-1mm(例如,0.5mm)的中空管。處理兼容材料的塗層可覆蓋導體410以提供圓柱形外殼420。在一些實施方式中,塗層420為形成導體的材料的氧化物(例如鋁導體上的氧化鋁)。在一些實施方式中,中空導體410的外徑為2mm,壁厚為0.5mm。
回到圖1及圖2,燈絲400由框架支撐並從框架延伸。框架由如氧化物材料、氮化物材料、碳化物材料、陶瓷材料或上述組合的處理兼容材料形成。氧化物材料的範例包括二氧化矽(例如,二氧化矽及石英)及氧化鋁(例如,藍寶石)。碳化物材料的例子包括碳化矽。在一些實施方式中,燈絲400的框架及外殼由相同的材料(例如,石英)形成。
燈絲400的外殼可熔合至框架上。此可形成流體密封以防止處理氣體到達導體,且因此可改善反應器的使用壽命並減少污染的可能性。
在一些實施方式中,例如,如圖1所示地,燈絲400從框架水平延伸。在一些實施方式中,例如,如圖2所示地,框架提供了頂板的一部分,及燈絲400從框架向下延伸。
在一些實施方式中,例如,如圖2及圖3所示,框架可由支撐件106提供。在其它實施方式中,框架是分離的主體(例如,安裝至頂板或側壁102的主體)。在一些實施方式中,框架由腔室的側壁提供。腔室壁可為導電的,但絕緣外殼可將導體與腔室壁隔離。
如圖1所示,若燈絲400從框架水平突出,則框架可為向下延伸以圍繞頂部間隙130的主體105。作為替代地,如圖3所示,若燈絲從頂板向下延伸,則支撐件106可包括圍繞頂部間隙130的向下突出的壁107。主體105或壁107可與支撐件106一體形成或熔合以提供流體密封。
圖5A為腔內電極組件的一部分的示意圖。腔內電極組件500包括多個共面燈絲400,該多個共面燈絲400附接在支撐件502處。電極組件500可提供電極組件120,及燈絲400可提供電極組件120的燈絲。在一些實施方式中,燈絲相互平行延伸。
燈絲400藉由燈絲間距510彼此分開。燈絲間距510可為表面至表面的距離;對於平行燈絲來說,可垂直於燈絲的縱軸來測量間距。間距510可影響電漿均勻性。若間距太大,則燈絲可產生陰影及不均勻性。另一方面,若間隔太小,則電漿不能在頂部縫隙130及底部縫隙132之間遷移,且不均勻性將增加或離子密度、或自由基密度將降低。在一些實施方式中,燈絲間距510在組件500上是均勻的。
燈絲間隔510可為3至20mm(例如,8mm)。在N2 壓力為(例如)2至10Torr的高壓下,燈絲間隔範圍可為20mm至3mm。壓力範圍的妥協可為5至10mm。在較低壓力及較大的工件距離下,可有效地使用較大的間距。
圖5B至圖5C為具有不同電漿區域狀態之腔內電極組件的橫截面示意圖。參考圖5B,電漿區域512圍繞燈絲400。電漿區域512具有上部電漿區域514及下部電漿區域516。上部電漿區域514位於頂部縫隙130處及下部電漿區域516位於底部縫隙132處。如圖5B所示,上部電漿區域514及下部電漿區域516通過燈絲400之間的縫隙連接,形成了連續的電漿區域512。電漿區域512的此種連續性係意欲的,因區域514及區域516通過電漿交換而與彼此「連通」。特別是對於單極驅動(所有燈絲連接至同一電源)及作為主要接地路徑的接地頂部電極來說,電漿的交換有助於保持兩個區域的電平衡,而助於電漿的穩定性及可重複性。
在單極驅動(相對於一些其他地面驅動的燈絲來說)且沒有頂部接地的情況下(如接地工件),則不需要在燈絲上方產生電漿。同樣在差分驅動的情況下(例如,交替燈絲連接至電源輸出的每一側),則可在燈絲之間產生電漿,因此燈絲上方的電漿並非必需。然而,在此些情況下,接地的頂部電極不應是有害的。
參考圖5C,在此狀態下,上部電漿區域514及下部電漿區域516不相互連接。電漿區域512的此種「收縮(pinching)」對於電漿穩定性而言不是意欲的。電漿區域512的形狀可藉由各種因子來調整,以去除電漿區域不連續性或改善電漿均勻性。
一般來說,區域512、區域514及區域516可具有寬範圍的電漿密度,且不一定是均勻的。此外,圖5C中所示的上部電漿區域514及下部電漿區域516間的不連續性表示相對於兩個區域之相當低的電漿密度及完全缺乏縫隙中的電漿(非必要)
頂部縫隙130為影響電漿區域之形狀的因子。取決於壓力,當頂部電極108接地時,減小頂部縫隙130通常會導致上部電漿區域514中的電漿密度的減少。可基於電漿腔室的電腦建模來決定頂部縫隙130的具體值。例如,頂部縫隙130可為3至8mm(例如,4.5mm)。
底部縫隙132為影響電漿區域之形狀的因子。取決於壓力,當工件支撐電極116接地時,減小底部縫隙132通常會導致下部電漿區域516中的電漿密度的減少。可基於電漿腔室的電腦建模來決定底部縫隙132的具體值。例如,底部縫隙132可為3至9mm(例如,4.5mm)。
驅動相鄰燈絲400的RF信號的相位是影響電漿區域的形狀的因子。當驅動相鄰燈絲的兩個RF信號的相位差被設置為0度(「單極」或「單端」)時,電漿區域被從燈絲400之間的縫隙中推出,導致了不連續性或不均勻性。當驅動相鄰燈絲的RF信號的相位差被設置為180度(「差分」)時,電漿區域被更強烈地限制在燈絲400之間。0度及360度之間的任何相位差可用於影響電漿區域512的形狀。
工件支撐電極116的接地是影響電漿區域的形狀的因子。電極116的不完美RF接地與驅動相鄰燈絲的RF信號之間的0度相位差結合推動了電漿區域朝向頂部縫隙。然而,若用具有180度相位差的RF信號來驅動相鄰燈絲(例如,燈絲402及燈絲404),則所得到的電漿分布對電極116的不完美RF接地較不敏感。不受任何特定理論的限制,此可能是因為RF電流肇因於驅動信號的差分性質而通過相鄰電極返回。
在一些實施方式中,腔內電極組件500可包括第一組燈絲及第二組燈絲400。可在空間上排列第一組及第二組,使得燈絲在第一組和第二組之間交替。例如,第一組可包括燈絲402,第二組可包括燈絲400及燈絲404。第一組可由RF電源522的第一端子522a驅動,及第二組可由RF電源522的第二端子522b驅動。RF電源522可經配置成在端子522a處提供第一RF信號,及在端子522b處提供第二RF信號。第一RF信號及第二RF信號可具有彼此相同的頻率及穩定的相位關係。例如,相位差可為0度及180度。在一些實施方式中,可在0度及360度之間調節由RF電源522提供的第一RF信號及第二RF信號之間的相位差。在一些實施方式中,RF電源522可包含兩個個別的相互鎖相的RF電源。
圖6A至圖6C為腔內電極組件配置的各種範例的示意圖。參考圖6A,腔內電極組件600包括第一指叉狀電極子組件620及第二指叉狀電極子組件630。子組件620及子組件630各自具有多根平行燈絲400,該等燈絲400在一端藉由母線650連接。在一些實施方式中,連接燈絲400的母線650位於內部空間104的外部。在一些實施方式中,連接燈絲400的母線650位於內部空間104中。第一指叉狀電極子組件620及第二指叉狀電極子組件630彼此平行取向,使得子組件620及子組件630的燈絲彼此平行。
參考圖6B,腔內電極組件602包括配置成使得第一電極子組件622及第二電極子組件632的燈絲以非零角度(例如,彼此垂直)延伸的子組件622及子組件632。
可用各種方式以RF信號驅動腔內電極組件602。在一些實施方式中,用相對於RF接地之相同的RF信號來驅動子組件622及子組件632。在一些實施方式中,由差分RF信號來驅動子組件622及子組件632。在一些實施方式中,用RF信號驅動子組件622,及子組件632連接至RF接地。
參考圖6C,腔內電極組件604包括重疊的第一電極子組件624及第二電極子組件634。第一電極子組件624及第二電極子組件634各自具有多根平行燈絲400,該等燈絲藉由母線660及母線662在兩端連接。第一電極子組件624及第二電極子組件634經配置成使得子組件624及634的燈絲彼此平行,及子組件624及634的燈絲以交替圖案佈置。
可用各種方式以RF信號驅動腔內電極組件604。在一些實施方式中,用相對於RF接地之相同的RF信號來驅動子組件624及子組件634。在一些實施方式中,由差分RF信號來驅動子組件624及子組件634。在一些實施方式中,用RF信號驅動子組件624,及子組件634連接至RF接地。
在一些實施方式中,利用中心饋電640以單端方式用RF信號來驅動腔內電極組件604。中心饋電640連接至中心處的X形電流分流器642。子組件624及子組件634的四個角使用垂直饋電結構而連接至X形分流器642。
一般來說,當不能提供足夠的RF接地時(例如,通過旋轉水銀耦合器、電刷或滑環的RF接地),子組件620、子組件622及子組件624及相應子組件630、相應子組件632及相應子組件634的差動驅動可改善電漿均勻性或處理可重複性。
已描述了本發明的特定實施例。然而,其他實施例亦為可能的。例如: •工件可在電漿腔室內保持靜止。 •平台可線性移動或旋轉,使得工件在電漿腔室中移動。
其他實施例在以下申請專利範圍的範疇內。
100‧‧‧電漿反應器102‧‧‧腔室主體104‧‧‧內部空間
105:主體
106:支撐件
107:壁
108:頂部電極
110:氣體分配器
112:氣體供應器
113:真空泵
114:工件支撐基座
114a:支撐表面
115:工件
116:工件支撐電極
118:工件偏置電壓源
119:內部通道
120:腔內電極組件
122:RF電源
130:頂部縫隙
132:底部內部空間
140:RF接地
142:RF偏置功率產生器
144:阻抗匹配
146:流體供應器
148:熱交換器
200:電漿反應器
310:導體
312:中心部分
314:端部部分
400:燈絲
402:燈絲
404:燈絲
410:導體
420:圓柱形外殼
430:通道
440:中空導管
500:腔內電極組件
502:支撐件
510:間距
512:電漿區域
514:上部電漿區域
516:下部電漿區域
522:RF電源
522a:第一端子
522b:第二端子
600:腔內電極組件
602:腔內電極組件
604:腔內電極組件
620:第一指叉狀電極子組件
622:第一電極子組件
624:第一電極子組件
630:第二指叉狀電極子組件
632:第二電極子組件
634:第二電極子組件
640:中心饋電
642:X形電流分流器
650:母線
660:母線
662:母線
圖1為電漿反應器之範例的示意性側視圖。
圖2為電漿反應器之另一個範例的示意性側視圖。
圖3為根據圖2之腔內電極組件的範例的透視圖。
圖4A至圖4C為腔內電極組件的燈絲的各種範例的示意性橫截面透視圖。
圖5A為腔內電極組件的一部分的示意性俯視圖。
圖5B至圖5C為具有不同電漿區域狀態的腔內電極組件的橫截面示意性側視圖。
圖6A至圖6C為腔內電極組件配置的各種範例的示意性俯視圖。
各個附加圖式中之相同的元件符號表示相同的元件。
100‧‧‧電漿反應器
102‧‧‧腔室主體
104‧‧‧內部空間
105‧‧‧主體
106‧‧‧支撐件
108‧‧‧頂部電極
110‧‧‧氣體分配器
112‧‧‧氣體供應器
113‧‧‧真空泵
114‧‧‧工件支撐基座
114a‧‧‧支撐表面
115‧‧‧工件
116‧‧‧工件支撐電極
118‧‧‧工件偏置電壓源
119‧‧‧內部通道
120‧‧‧腔內電極組件
122‧‧‧RF電源
130‧‧‧頂部縫隙
132‧‧‧底部內部空間
140‧‧‧RF接地
142‧‧‧RF偏置功率產生器
144‧‧‧阻抗匹配
146‧‧‧流體供應器
148‧‧‧熱交換器
400‧‧‧燈絲

Claims (39)

  1. 一種電漿反應器,包括:一腔室主體,該腔室主體具有提供一電漿腔室的一內部空間及具有一頂板,其中該頂板包括一頂部電極支撐件;一氣體分配器,該氣體分配器用於將一處理氣體輸送至該電漿腔室;一泵,該泵經耦合至該電漿腔室以抽空該電漿腔室;一工件支撐件,該工件支撐件用於保持一工件面向該頂板;一腔內電極組件,該腔內電極組件包括一絕緣框架及在該頂板及該工件支撐件之間橫向延伸穿過該電漿腔室的一燈絲,該燈絲包括一導體,該導體至少部分地由一絕緣外殼圍繞,該絕緣外殼從該絕緣框架延伸,其中該絕緣框架包括一向下突出的側壁,該向下突出的側壁位於該腔室主體的一側壁的內部且橫向圍繞該頂板和該燈絲之間的一體積,其中該向下突出的側壁由一介電材料組成;及一第一RF電源,該第一RF電源用於向該腔內電極組件的該導體供應一第一RF功率。
  2. 如請求項1所述的電漿反應器,其中該絕緣 外殼包括一圓柱形外殼,該圓柱形外殼圍繞該電漿腔室內的整個導體且沿著該電漿腔室內的整個導體延伸。
  3. 如請求項1所述的電漿反應器,其中該絕緣外殼由矽、或一氧化物材料、一氮化物材料或一碳化物材料、或矽和該氧化物材料的一組合、矽和該氮化物材料的一組合或矽和該碳化物材料的一組合形成。
  4. 如請求項3所述的電漿反應器,其中該絕緣外殼由二氧化矽、藍寶石或碳化矽形成。
  5. 如請求項1所述的電漿反應器,其中該絕緣外殼包括該導體上的一塗層。
  6. 如請求項2所述的電漿反應器,其中該圓柱形外殼形成一通道,且該導體懸掛在該通道中並延伸穿過該通道。
  7. 如請求項6所述的電漿反應器,進一步包括經配置成使一流體循環通過該通道的一流體供應器。
  8. 如請求項7所述的電漿反應器,其中該流體包含一非氧化性氣體。
  9. 如請求項7所述的電漿反應器,包括一熱交換器,該熱交換器經配置成從該流體中去除熱量或向該流體提供熱量。
  10. 如請求項1所述的電漿反應器,其中該導 體包括一中空通道。
  11. 如請求項10所述的電漿反應器,進一步包括經配置成使一流體循環通過該通道的一流體供應器。
  12. 如請求項11所述的電漿反應器,包括一熱交換器,該熱交換器經配置成從該流體中去除熱量或向該流體提供熱量。
  13. 如請求項1所述的電漿反應器,其中該腔內電極組件包括複數個共面燈絲,該複數個共面燈絲在該頂板及該工件支撐件之間橫向延伸穿過該電漿腔室。
  14. 如請求項13所述的電漿反應器,其中該複數個共面燈絲均勻地間隔開。
  15. 如請求項14所述的電漿反應器,其中該等共面燈絲之間的一表面間距在2mm至25mm的範圍中。
  16. 如請求項13所述的電漿反應器,其中該複數個共面燈絲包括線性燈絲。
  17. 如請求項16所述的電漿反應器,其中該複數個共面燈絲平行延伸通過該電漿腔室。
  18. 如請求項17所述的電漿反應器,其中該複數共面燈絲均勻地間隔開。
  19. 如請求項1所述的電漿反應器,其中該絕緣外殼與該絕緣框架熔合。
  20. 如請求項1所述的電漿反應器,其中該絕緣外殼與該絕緣框架為一相同的材料組成。
  21. 如請求項1所述的電漿反應器,其中該絕緣框架由二氧化矽或一陶瓷材料形成。
  22. 一種電漿反應器,包括:一腔室主體,該腔室主體具有提供一電漿腔室的一內部空間,其中該內部空間具有一頂板,該頂板提供該內部空間與一外部環境之間的一邊界,該頂板包括一頂部電極支撐件和一絕緣框架,該絕緣框架由該頂部電極提供或作為一分離的主體,該絕緣框架由一電絕緣材料形成;一氣體分配器,該氣體分配器用於將一處理氣體輸送至該電漿腔室;一泵,該泵耦合至該電漿腔室以抽空該電漿腔室;一工件支撐件,該工件支撐件用於保持一工件;一腔內電極組件,該腔內電極組件包括該絕緣框架及一燈絲,該燈絲包括從該頂板處的該絕緣框架向下延伸的一第一部分及在該頂板及該工件支撐件之間橫向延伸穿過該電漿腔室且與工件支撐件平行的一第二部分,該燈絲包括至少部分地由一絕緣外殼圍繞的一 導體,且該絕緣外殼與該頂板處的該絕緣框架熔合,其中該絕緣框架包括一向下突出的側壁,該向下突出的側壁位於該腔室主體的一側壁的內部且橫向圍繞該頂板和該燈絲的該第二部分之間的一體積,其中該向下突出的側壁由一介電材料組成;及一第一RF電源,該第一RF電源用於向該腔內電極組件的該導體供應一第一RF功率。
  23. 如請求項22所述的電漿反應器,其中該腔內電極組件包括複數個燈絲,每個燈絲包括從該頂板向下延伸的一第一部分及在該頂板及該工件支撐件之間橫向延伸穿過該電漿腔室的一第二部分。
  24. 如請求項23所述的電漿反應器,其中該複數個燈絲的該等第二部分為共面。
  25. 如請求項24所述的電漿反應器,其中該複數個燈絲的該等第二部分均勻地間隔開。
  26. 如請求項25所述的電漿反應器,其中該複數個燈絲的該等第二部分為線性。
  27. 如請求項22所述的電漿反應器,其中該向下突出的側壁由氧化矽或一陶瓷材料形成。
  28. 如請求項22所述的電漿反應器,其中該絕緣外殼及該絕緣支撐件為一相同的材料組成。
  29. 如請求項22所述的電漿反應器,其中該絕 緣框架由二氧化矽或一陶瓷材料形成。
  30. 如請求項23所述的電漿反應器,其中該複數個燈絲的外殼分別熔合至該頂板處的該絕緣外殼。
  31. 如請求項22所述的電漿反應器,其中該腔室主體由一導電材料組成。
  32. 如請求項22所述的電漿反應器,其中該向下突出的側壁突出超過該燈絲的該第二部分。
  33. 如請求項22所述的電漿反應器,其中該向下突出的側壁與該絕緣框架形成一流體密封。
  34. 一種電漿反應器,包括:一腔室主體,該腔室主體具有提供一電漿腔室的一內部空間,其中該內部空間具有一頂板,該頂板提供該內部空間與一外部環境之間的一邊界,該頂板包括用於將一頂部電極保持在該電漿腔室中的一位置處的一絕緣支撐件及一絕緣框架,該絕緣框架由該頂部電極提供或作為一分離的主體,該絕緣框架沿著該腔室主體的一側沿伸;一氣體分配器,該氣體分配器用於將一處理氣體輸送至該電漿腔室;一泵,該泵耦合至該電漿腔室以抽空該電漿腔室;一工件支撐件,該工件支撐件用於保持面向該頂部電極的該位置的一工件; 一腔內電極組件,該腔內電極組件包括一燈絲,該燈絲包括從該頂板處的該絕緣外殼向下延伸的一第一部分和在該頂部電極的該位置及該工件支撐件之間橫向延伸穿過該電漿腔室的一第二部分,該燈絲包括至少部分地由一絕緣外殼圍繞的一導體,該絕緣外殼從該絕緣框架延伸且該絕緣外殼熔合至該絕緣框架,其中該絕緣框架包括一向下突出的側壁,該向下突出的側壁位於該腔室主體的一側壁的內部且橫向圍繞該頂板和該燈絲的該第二部分之間的一體積,其中該向下突出的側壁由一介電材料組成;及一第一RF電源,該第一RF電源用於向該腔內電極組件的該導體供應一第一RF功率。
  35. 如請求項34所述的電漿反應器,包括經佈置在該位置處的該頂部電極。
  36. 如請求項35所述的電漿反應器,其中該頂部電極由矽、碳或矽和碳的一組合形成。
  37. 如請求項34所述的電漿反應器,其中該絕緣框架為一氧化物、一氮化物或該氧化物與該氮化物的一組合。
  38. 如請求項37所述的電漿反應器,其中該絕緣框架由氧化矽、氧化鋁或氮化矽形成。
  39. 一種電漿反應器,包括: 一腔室主體,該腔室主體具有提供一電漿腔室的一內部空間及具有一頂板,其中該頂板包括一頂部電極支撐件;一氣體分配器,該氣體分配器用於將一處理氣體輸送至該電漿腔室;一泵,該泵耦合至該電漿腔室以抽空該電漿腔室;一工件支撐件,該工件支撐件用於保持一工件;一腔內電極組件,該腔內電極組件包括一絕緣框架、一第一複數個共面燈絲及一第二複數個共面燈絲,該第一複數個共面燈絲沿著一第一方向在該頂板及該工件支撐件之間橫向延伸穿過該電漿腔室,該第二複數個共面燈絲沿著垂直於該第一方向的一第二方向平行延伸穿過該電漿腔室,該第一複數個燈絲及該第二複數個燈絲中的每一個燈絲包括至少部分地由一絕緣外殼圍繞的一導體,其中該絕緣框架包括一向下突出的側壁,該向下突出的側壁位於該腔室主體的一側壁的內部且橫向圍繞該頂板和該第一複數個共面燈絲之間的一體積,其中該向下突出的側壁由一介電材料組成;及一第一RF電源,該第一RF電源用於向該腔內電極組件的該導體供應一第一RF功率。
TW107110646A 2017-04-24 2018-03-28 具有電極燈絲的電漿反應器 TWI776874B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762489344P 2017-04-24 2017-04-24
US62/489,344 2017-04-24
US15/630,748 US20180308661A1 (en) 2017-04-24 2017-06-22 Plasma reactor with electrode filaments
US15/630,748 2017-06-22
US15/630,833 US11424104B2 (en) 2017-04-24 2017-06-22 Plasma reactor with electrode filaments extending from ceiling
US15/630,833 2017-06-22

Publications (2)

Publication Number Publication Date
TW201903819A TW201903819A (zh) 2019-01-16
TWI776874B true TWI776874B (zh) 2022-09-11

Family

ID=63852422

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107110646A TWI776874B (zh) 2017-04-24 2018-03-28 具有電極燈絲的電漿反應器

Country Status (6)

Country Link
US (2) US11424104B2 (zh)
JP (1) JP7051897B2 (zh)
KR (1) KR102505096B1 (zh)
CN (1) CN110537242A (zh)
TW (1) TWI776874B (zh)
WO (1) WO2018200404A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11424104B2 (en) * 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US10510515B2 (en) 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly
US10669430B2 (en) * 2018-07-17 2020-06-02 Varian Semiconductor Equipment Associates, Inc. Anti-reflective coating for transparent end effectors
WO2020124158A1 (en) * 2018-12-21 2020-06-25 Ozone 1 Pty Ltd Improvements in plasma reactors
CN113496862A (zh) * 2020-04-02 2021-10-12 中微半导体设备(上海)股份有限公司 等离子体反应器及其射频功率分布调节方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6161499A (en) * 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
US20040011466A1 (en) * 2002-07-16 2004-01-22 Tokyo Electron Limited Plasma processing apparatus
US20110306213A1 (en) * 2010-06-09 2011-12-15 Lam Research Corporation Ozone plenum as uv shutter or tunable uv filter for cleaning semiconductor substrates
US20130105083A1 (en) * 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings
TW201344738A (zh) * 2012-04-26 2013-11-01 Applied Materials Inc 具rf耦接接地電極之電容耦合電漿源
US20160005631A1 (en) * 2013-03-14 2016-01-07 Applied Materials, Inc. Apparatus for coupling a hot wire source to a process chamber
TWI693623B (zh) * 2015-07-27 2020-05-11 美商蘭姆研究公司 靜電夾頭、電漿製程系統、在電漿製程操作中判斷rf電流傳輸的方法及靜電夾頭的製造方法

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4765179A (en) 1985-09-09 1988-08-23 Solid State Farms, Inc. Radio frequency spectroscopy apparatus and method using multiple frequency waveforms
US4825467A (en) 1986-11-25 1989-04-25 International Telesystems, Inc. Restricted access television transmission system
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3132599B2 (ja) 1992-08-05 2001-02-05 株式会社日立製作所 マイクロ波プラズマ処理装置
TW293983B (zh) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6082294A (en) * 1996-06-07 2000-07-04 Saint-Gobain Industrial Ceramics, Inc. Method and apparatus for depositing diamond film
DE19882883B4 (de) * 1997-12-15 2009-02-26 Advanced Silicon Materials LLC, (n.d.Ges.d.Staates Delaware), Moses Lake System für die chemische Abscheidung aus der Gasphase zum Herstellen polykristalliner Siliziumstangen
JP3544136B2 (ja) 1998-02-26 2004-07-21 キヤノン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3332857B2 (ja) 1998-04-15 2002-10-07 三菱重工業株式会社 高周波プラズマ発生装置及び給電方法
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3316490B2 (ja) 2000-03-13 2002-08-19 三菱重工業株式会社 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
JP3377773B2 (ja) 2000-03-24 2003-02-17 三菱重工業株式会社 放電電極への給電方法、高周波プラズマ発生方法および半導体製造方法
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP2001274101A (ja) 2000-03-27 2001-10-05 Mitsubishi Heavy Ind Ltd 棒状電極を有するプラズマ化学蒸着装置
KR100757717B1 (ko) 2000-04-13 2007-09-11 도꾸리쯔교세이호진 상교기쥬쯔 소고겡뀨죠 박막 형성 방법, 박막 형성 장치 및 태양전지
KR100797423B1 (ko) * 2000-05-17 2008-01-23 가부시키가이샤 아이에이치아이 플라즈마 cvd 장치 및 방법
JP2002305151A (ja) * 2001-04-05 2002-10-18 Mitsubishi Heavy Ind Ltd 表面処理装置及び表面処理方法
JP3872363B2 (ja) 2002-03-12 2007-01-24 京セラ株式会社 Cat−PECVD法
BR0309810A (pt) 2002-05-08 2007-04-10 Dana Corp sistemas e método de tratamento da exaustão de motor e veìculo móvel
JP3840147B2 (ja) * 2002-06-21 2006-11-01 キヤノン株式会社 成膜装置、成膜方法およびそれを用いた電子放出素子、電子源、画像形成装置の製造方法
KR100465907B1 (ko) 2002-09-26 2005-01-13 학교법인 성균관대학 자장이 인가된 내장형 선형 안테나를 구비하는 대면적처리용 유도 결합 플라즈마 소오스
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
JP2004128159A (ja) 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd 高周波プラズマ発生装置および高周波プラズマ発生方法
AU2002344594B2 (en) 2002-10-29 2005-06-09 Mitsubishi Heavy Industries, Ltd. Method and device for generating uniform high-frequency plasma over large surface area used for plasma chemical vapor deposition apparatus
JP3902113B2 (ja) 2002-10-31 2007-04-04 三菱重工業株式会社 プラズマ化学蒸着方法
US20050067934A1 (en) 2003-09-26 2005-03-31 Ishikawajima-Harima Heavy Industries Co., Ltd. Discharge apparatus, plasma processing method and solar cell
EP1574597B1 (en) * 2004-03-12 2012-01-11 Universiteit Utrecht Holding B.V. Process for producing thin films and devices
US8293069B2 (en) 2004-03-15 2012-10-23 Sungkyunkwan University Inductively coupled plasma apparatus
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4634138B2 (ja) * 2004-12-27 2011-02-16 日本碍子株式会社 プラズマ発生電極及びプラズマ反応器
US20060185595A1 (en) * 2005-02-23 2006-08-24 Coll Bernard F Apparatus and process for carbon nanotube growth
US7842159B2 (en) * 2005-07-14 2010-11-30 Sungkyunkwan University Foundation For Corporate Collaboration Inductively coupled plasma processing apparatus for very large area using dual frequency
JP2007067157A (ja) 2005-08-31 2007-03-15 Tokyo Ohka Kogyo Co Ltd 気相反応処理装置
US7455735B2 (en) 2005-09-28 2008-11-25 Nordson Corporation Width adjustable substrate support for plasma processing
US9194036B2 (en) * 2007-09-06 2015-11-24 Infineon Technologies Ag Plasma vapor deposition
JP2008047938A (ja) 2007-10-17 2008-02-28 Masayoshi Murata 高周波プラズマcvd装置と高周波プラズマcvd法及び半導体薄膜製造法。
FR2922696B1 (fr) 2007-10-22 2010-03-12 St Microelectronics Sa Resonateur a ondes de lamb
WO2009093459A1 (ja) 2008-01-25 2009-07-30 Mitsui Engineering & Shipbuilding Co., Ltd. 原子層成長装置および薄膜形成方法
TW200946714A (en) * 2008-02-18 2009-11-16 Mitsui Engineering & Shipbuilding Co Ltd Atomic layer deposition apparatus and atomic layer deposition method
JP5136134B2 (ja) 2008-03-18 2013-02-06 ソニー株式会社 バンドパスフィルタ装置、その製造方法、テレビジョンチューナおよびテレビジョン受信機
US20110203101A1 (en) * 2008-06-23 2011-08-25 Gt Solar Incorporated Chuck and bridge connection points for tube filaments in a chemical vapor deposition reactor
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
KR101073834B1 (ko) * 2009-09-10 2011-10-14 주성엔지니어링(주) 플라즈마 처리장치 및 처리방법
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8914166B2 (en) 2010-08-03 2014-12-16 Honeywell International Inc. Enhanced flight vision system for enhancing approach runway signatures
WO2012117888A1 (ja) * 2011-03-03 2012-09-07 三洋電機株式会社 触媒化学気相成膜装置、それを用いた成膜方法及び触媒体の表面処理方法
JP5505731B2 (ja) * 2011-03-10 2014-05-28 日新イオン機器株式会社 イオン源
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
JP5495138B2 (ja) 2011-10-31 2014-05-21 日新イオン機器株式会社 イオン源
US9396900B2 (en) 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
CN102548177B (zh) 2012-01-13 2014-07-02 北京交通大学 等离子体空气净化装置的放电电极结构
DE102012103425A1 (de) 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
JP2014049541A (ja) 2012-08-30 2014-03-17 Mitsubishi Heavy Ind Ltd 薄膜製造装置及びその電極電圧調整方法
US9419583B2 (en) 2013-04-22 2016-08-16 Northeastern University Nano- and micro-electromechanical resonators
US9355821B2 (en) 2013-06-19 2016-05-31 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan Large-area plasma generating apparatus
EP2849204B1 (de) * 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasmaerzeugungsvorrichtung
DE102013112855A1 (de) 2013-11-21 2015-05-21 Aixtron Se Vorrichtung und Verfahren zum Fertigen von aus Kohlenstoff bestehenden Nanostrukturen
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9554738B1 (en) 2016-03-30 2017-01-31 Zyomed Corp. Spectroscopic tomography systems and methods for noninvasive detection and measurement of analytes using collision computing
US20180308663A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with phase shift applied across electrode array
US20180308664A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with filaments and rf power applied at multiple frequencies
US11424104B2 (en) * 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US20180308667A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with groups of electrodes
US10510515B2 (en) * 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly
US11114284B2 (en) * 2017-06-22 2021-09-07 Applied Materials, Inc. Plasma reactor with electrode array in ceiling

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6161499A (en) * 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
US20040011466A1 (en) * 2002-07-16 2004-01-22 Tokyo Electron Limited Plasma processing apparatus
US20110306213A1 (en) * 2010-06-09 2011-12-15 Lam Research Corporation Ozone plenum as uv shutter or tunable uv filter for cleaning semiconductor substrates
US20130105083A1 (en) * 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings
TW201344738A (zh) * 2012-04-26 2013-11-01 Applied Materials Inc 具rf耦接接地電極之電容耦合電漿源
US20160005631A1 (en) * 2013-03-14 2016-01-07 Applied Materials, Inc. Apparatus for coupling a hot wire source to a process chamber
TWI693623B (zh) * 2015-07-27 2020-05-11 美商蘭姆研究公司 靜電夾頭、電漿製程系統、在電漿製程操作中判斷rf電流傳輸的方法及靜電夾頭的製造方法

Also Published As

Publication number Publication date
JP7051897B2 (ja) 2022-04-11
CN110537242A (zh) 2019-12-03
TW201903819A (zh) 2019-01-16
WO2018200404A1 (en) 2018-11-01
US20180308666A1 (en) 2018-10-25
JP2020521269A (ja) 2020-07-16
US20180308661A1 (en) 2018-10-25
US11424104B2 (en) 2022-08-23
KR20190134811A (ko) 2019-12-04
KR102505096B1 (ko) 2023-03-06

Similar Documents

Publication Publication Date Title
TWI776874B (zh) 具有電極燈絲的電漿反應器
JP7149068B2 (ja) プラズマ処理装置およびプラズマ処理方法
US11114284B2 (en) Plasma reactor with electrode array in ceiling
US10510515B2 (en) Processing tool with electrically switched electrode assembly
KR100887910B1 (ko) 균일한 처리 레이트 생성을 위한 방법 및 장치
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
JP6097471B2 (ja) 環状のバッフル
CN112655069B (zh) 等离子处理装置以及等离子处理方法
KR20130111221A (ko) 프로세스 공간이 한정된 pecvd 챔버
JP2007149638A (ja) プラズマ生成方法及び装置並びにプラズマ処理装置
EP3794628B1 (en) Plasma processing tool
US11355321B2 (en) Plasma reactor with electrode assembly for moving substrate
KR102501096B1 (ko) 플라즈마 반응기의 전극들에의 전력 인가
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
US20180308667A1 (en) Plasma reactor with groups of electrodes
KR101241951B1 (ko) 플라즈마 발생장치 및 기판의 플라즈마 처리방법
CN111819666A (zh) 蚀刻方法和等离子体处理装置
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
TW201944514A (zh) 處理系統及處理方法
JP2020145137A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent