CN110537242A - 具有电极线状物的等离子体反应器 - Google Patents

具有电极线状物的等离子体反应器 Download PDF

Info

Publication number
CN110537242A
CN110537242A CN201880026528.2A CN201880026528A CN110537242A CN 110537242 A CN110537242 A CN 110537242A CN 201880026528 A CN201880026528 A CN 201880026528A CN 110537242 A CN110537242 A CN 110537242A
Authority
CN
China
Prior art keywords
chamber
plasma
electrode assembly
thread
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880026528.2A
Other languages
English (en)
Inventor
肯尼思·S·柯林斯
迈克尔·R·赖斯
卡提克·雷马斯瓦米
詹姆斯·D·卡达希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110537242A publication Critical patent/CN110537242A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/3277Continuous moving of continuous material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

等离子体反应器包括具有提供等离子体腔室的内部空间并且具有顶板的腔室主体、用于将处理气体输送至等离子体腔室的气体分配器、耦接至等离子体腔室以抽空腔室的泵、保持工件面向顶板的工件支撑件、包括绝缘框架和在顶板与工件支撑件之间横向延伸穿过等离子体腔室的线状物的腔室内电极组件(线状物包括至少部分地由从绝缘框架延伸的绝缘外壳围绕的导体)和向腔室内电极组件的导体供应第一RF功率的第一RF功率源。

Description

具有电极线状物的等离子体反应器
技术领域
本公开内容涉及(例如)用于在诸如半导体晶片的工件上沉积膜、蚀刻所述工件或处理所述工件的等离子体反应器。
背景技术
通常使用电容耦合等离子体(CCP)源或电感耦合等离子体(ICP)源来产生等离子体。基本的CCP源包含两个金属电极,两个金属电极类似于平行板电容器在气体环境中以小的距离分开。两个金属电极中的一个由射频(RF)电源以固定频率驱动,而另一个电极连接至RF接地,在两个电极之间产生RF电场。所产生的电场使气体原子离子化,释放电子。气体中的电子被RF电场加速并且通过碰撞来直接或间接地离子化气体,产生等离子体。
基本的ICP源通常包含螺旋形或线圈形的导体。当RF电流流过导体时,在导体周围形成RF磁场。RF磁场伴随着RF电场,RF电场使气体原子离子化并产生等离子体。
各种工艺气体的等离子体广泛用于集成电路的制造。等离子体可用于(例如)薄膜沉积、蚀刻和表面处理。
原子层沉积(ALD)是基于气相化学工艺的顺序使用的薄膜沉积技术。一些ALD工艺使用等离子体以为化学反应提供必要的活化能。可在比非等离子体增强(例如,“热”)ALD工艺更低的温度下执行等离子体增强ALD工艺。
发明内容
在一个方面中,等离子体反应器包括:具有提供等离子体腔室的内部空间并且具有顶板的腔室主体、用于将处理气体输送至等离子体腔室的气体分配器、耦接至等离子体腔室以抽空腔室的泵、保持工件面向顶板的工件支撑件、包括绝缘框架和在顶板与工件支撑件之间横向延伸穿过等离子体腔室的线状物(filament)的腔室内电极组件(线状物包括至少部分地由从绝缘框架延伸的绝缘外壳围绕的导体)、和向腔室内电极组件的导体供应第一RF功率的第一RF功率源。
实施方式可包括以下特征中的一个或多个。
绝缘外壳可为圆柱形外壳,所述圆柱形外壳在等离子体腔室内围绕整个导体并且沿着整个导体延伸。绝缘外壳由硅或者氧化物、氮化物或碳化物材料或上述材料的组合形成。绝缘外壳可由硅石(silica)、蓝宝石或碳化硅形成。绝缘外壳可为导体上的涂层。圆柱形外壳可形成通路,并且导体可悬在所述通路中并延伸穿过所述通路,或者导体可具有中空通路。流体源可经构造以使流体循环通过所述通路。流体可为非氧化性气体。热交换器可经构造以从流体中去除热量或向流体供应热量。
腔室内电极组件可具有复数个共面线状物,所述复数个共面线状物在顶板与工件支撑件之间横向延伸穿过等离子体腔室。复数个共面线状物可均匀地间隔开。共面线状物与工件支撑表面之间的表面到表面间距可在2mm至25mm的范围中。复数个共面线状物可包括直线(linear)线状物。复数个共面线状物可平行延伸通过等离子体腔室。复数个共面线状物可均匀地间隔开。
外壳可熔合至绝缘框架上。外壳与绝缘框架可为相同的材料组成。绝缘框架可由硅石或陶瓷材料形成。
在另一方面中,等离子体反应器包括:具有提供等离子体腔室的内部空间并且具有顶板和保持顶电极的绝缘支撑件的腔室主体、用于将处理气体输送至所述等离子体腔室的气体分配器、耦合至所述等离子体腔室以抽空所述腔室的泵、用于保持工件面向所述顶电极的工件支撑件、包括在所述顶电极与所述工件支撑件之间横向延伸穿过所述等离子体腔室的线状物的腔室内电极组件(所述线状物包括至少部分地由从所述绝缘框架延伸的绝缘外壳围绕的导体)、和用于向所述腔室内电极组件的导体供应第一RF功率的第一RF功率源。
实施方式可包括以下特征中的一个或多个。
顶电极可由硅、碳或上述材料的组合形成。绝缘框架可为氧化物、氮化物或上述材料的组合。绝缘框架可由氧化硅、氧化铝或氮化硅形成。
在另一方面中,等离子体反应器包括具有提供等离子体腔室的内部空间的腔室主体,并且具有顶板、用于将处理气体输送至所述等离子体腔室的气体分配器、耦合至所述等离子体腔室以抽空所述腔室的泵、用于保持工件的工件支撑件、包括绝缘框架和线状物(所述线状物包括从所述顶板向下延伸的第一部分和在所述顶板与所述工件支撑件之间横向延伸穿过所述等离子体腔室的第二部分,所述线状物包括至少部分地由绝缘外壳围绕的导体)的腔室内电极组件、和用于向所述腔室内电极组件的所述导体供应第一RF功率的第一RF功率源。
实施方式可包括以下特征中的一个或多个。
腔室内电极组件可包括复数个线状物。每个线状物可包括从顶板向下延伸的第一部分和在所述顶板与工件支撑件之间横向延伸穿过等离子体腔室的第二部分。复数个线状物的第二部分可为共面的。复数个线状物的第二部分可均匀地间隔开。复数个线状物的第二部分可为直线的。
支撑件可包括向下伸出的侧壁,所述侧壁围绕在顶板与线状物的第二部分之间的体积。侧壁可由氧化硅或陶瓷材料形成。顶板可包括绝缘框架,并且细丝可延伸出绝缘框架。外壳可熔合至框架。外壳与支撑件可具有相同的材料组成。绝缘框架可由硅石或陶瓷材料形成。
在另一方面中,等离子体反应器包括:具有提供等离子体腔室的内部空间并且具有顶板的腔室主体、用于将处理气体输送至所述等离子体腔室的气体分配器、耦合至所述等离子体腔室以抽空所述腔室的泵、用于保持工件的工件支撑件和腔室内电极组件。腔室内电极组件包括绝缘框架、第一复数个共面线状物和第二复数个共面线状物,所述第一复数个共面线状物沿着第一方向在顶板与工件支撑件之间横向延伸穿过等离子体腔室,所述第二复数个共面线状物沿着垂直于所述第一方向的第二方向平行延伸穿过等离子体腔室。第一复数个线状物和第二复数个线状物中的每个线状物包括至少部分地由绝缘外壳围绕的导体。第一RF功率源向腔室内电极组件的导体供应第一RF功率。
某些实施方式可具有以下优势中的一个或多个。等离子体均匀性可得到改善。等离子体工艺可重复性可得到改善。可减少金属污染。可减少微粒产生。可减少等离子体充电损坏。可在不同的工艺操作条件下保持等离子体的均匀性。等离子体功率耦合效率可得到改善。
在附图和下文描述中阐述本发明的一个或多个实施方式的细节。从说明书、附图和权利要求书中,本发明的其他特征、目的和优势将显而易见。
附图简要说明
图1是等离子体反应器的范例的示意性侧视图。
图2是等离子体反应器的另一范例的示意性侧视图。
图3是根据图2的腔室内电极组件的范例的透视图。
图4A至图4C是腔室内电极组件的线状物的各种范例的示意性横截面透视图。
图5A是腔室内电极组件的一部分的示意性俯视图。
图5B至图5C是具有不同等离子体区域状态的腔室内电极组件的横截面示意性侧视图。
图6A至图6C是腔室内电极组件构造的各种范例的示意性俯视图。
各个附图中相同的参考标记表示相同的元件。
具体实施方式
常规的CCP源中的等离子体均匀性通常由(多个)电极尺寸和电极间距离(inter-electrode distance)、以及由气压、气体组成和所施加的RF功率来确定。在较高的射频下,由于驻波或趋肤效应的存在,附加效应(additional effect)可能变得显著或甚至主导非均匀性。在更高的频率和等离子体密度下,这样的附加效应变得更加明显。
常规的ICP源中的等离子体均匀性通常由ICP(多个)线圈的构造(包括ICP线圈的尺寸、几何形状、与工件的距离和相关联的RF窗口位置)、以及由气压、气体组成和功率来确定。在多个线圈或线圈段的情况下,电流或功率分布和线圈或线圈段的相对相位(如果以相同频率驱动)也可能是重要因素。由于趋肤效应,功率沉积倾向于发生在ICP线圈下数厘米内或邻近ICP线圈发生,这样的局部功率沉积通常导致反映线圈几何形状的工艺不均匀性。这样的等离子体不均匀性导致跨工件的电位差,这还可导致等离子体充电损坏(例如,晶体管栅介质破裂)。
通常需要大的扩散距离来改善ICP源的均匀性。然而,由于低功率耦合,具有厚RF窗口的常规ICP源在高气压下通常效率低,这带来高驱动电流而造成高电阻功率损耗。相反地,腔室内电极组件不需具有RF窗口,而只需圆柱形外壳。这可提供更好的功率耦合和更好的效率。
在具有移动的工件支撑件的等离子体腔室中,移动的工件支撑件可通过例如旋转水银耦合器、刷或滑环来DC接地。然而,移动的工件支撑件可能无法在射频下充分接地。RF接地路径的阻抗应当显著地低于等离子体(为了成为充分的RF接地)。缺少充分的RF接地路径可能使得难以控制工件处的离子能量并且降低工艺的可重复性。
因此需要具有以下性质的等离子体源:所述等离子体源可以有效地在工件尺寸范围内产生具有期望性质(等离子体密度、电子温度、离子能量、离解等)的均匀等离子体;所述等离子体源对于在操作窗口范围内(例如,压力、功率和气体组成)的均匀性是可调节的;即使在移动的工件的情况下,所述等离子体源也具有稳定并且可重复的电气性能;并且所述等离子体源不产生过多的金属污染物或微粒。腔室内电极组件可能能够更好地提供这些性质中的一个或多个。
图1是等离子体反应器的范例的示意性侧视图。等离子体反应器100具有用作等离子体腔室的围绕内部空间104的腔室主体102。内部空间104可为圆柱形,例如用于处理圆形半导体晶片。腔室主体102具有位于等离子体反应器100的顶板附近的支撑件106,支撑件106支撑顶电极108。顶电极可悬在内部空间104内并与顶板间隔开、邻接顶板或形成顶板的一部分。腔室主体102的侧壁的一些部分可独立于顶电极108而接地。
气体分配器110位于等离子体反应器100的顶板附近。在一些实施方式中,气体分配器110与顶电极108整合为单个部件。气体分配器110连接至气源112。气源112将一种或多种工艺气体输送至气体分配器110,一种或多种工艺气体的组成可取决于要执行的工艺(例如,沉积或蚀刻)。真空泵113耦接至内部空间104以将等离子体反应器抽空。对于一些工艺来说,在Torr范围中操作腔室,并且气体分配器110供应氩气、氮气、氧气和/或其他气体。
用于支撑工件115的工件支撑基座114位于等离子体反应器100中。工件支撑基座114具有面向顶电极108的工件支撑表面114a。
在一些实施方式中,工件支撑基座114包括在工件支撑基座114内的工件支撑电极116。在一些实施方式中,工件支撑电极116可接地或连接至接地的阻抗或电路。在一些实施方式中,RF偏置功率产生器142通过阻抗匹配144耦合至工件支撑电极116。工件支撑电极116可额外地包括静电吸盘,并且工件偏置电压源118可连接至工件支撑电极116。RF偏置功率产生器142可用于产生等离子体、控制电极电压或电极鞘层电压,或用于控制等离子体的离子能量。
另外,基座114可具有用于加热或冷却工件115的内部通道119。在一些实施方式中,嵌入的电阻式加热器可设在基座内(例如,内部通道119内)。
在一些实施方式中,通过来自位于底部内部空间132内的加热元件和/或在基座114上或嵌入基座114中的电阻式加热器的辐射和/或对流加热来加热工件支撑基座114。
腔室内电极组件120位于在顶电极108与工件支撑基座114之间的内部空间104中。此电极组件120包括一个或多个线状物400,一个或多个线状物400在基座114的支撑表面114a上方在腔室中横向延伸。在基座114上方的电极组件120的至少一部分线状物平行于支撑表面114a延伸。顶间隙130形成在顶电极108与腔室内电极组件120之间。底间隙132形成在工件支撑基座114与腔室内电极组件120之间。
电极组件120由RF功率源122驱动。RF功率源122可以1MHz至超过300MHz的频率向电极组件120的一个或多个线状物施加功率。对于一些工艺来说,RF功率源122以60MHz的频率提供总RF功率100W至大于2kW。
在一些实施方式中,可能期望选择底间隙132以使得等离子体产生的自由基、离子或电子与工件表面相互作用。间隙的选择取决于应用和操作制度。对于期望将自由基通量(但非常低的离子/电子通量)输送至工件表面的一些应用来说,可选择在较大间隙和/或较高压力下的操作。对于期望将自由基通量和许多的(substantial)等离子体离子/电子通量)输送至工件表面的其它应用来说,可选择在较小间隙和/或较低压力下的操作。例如,在一些低温等离子体增强ALD工艺中,工艺气体的自由基对于ALD膜的沉积或处理是必需的。自由基是具有不成对价电子的原子或分子。自由基通常对其他物质有高度的化学反应性。自由基与其他化学物种的反应通常在膜沉积中起重要作用。然而,由于自由基的高度化学反应性,自由基通常是短寿命的,因此在自由基的寿命内不能被传输得很远。将自由基源(即,用作等离子体源的腔室内电极组件120)靠近工件115的表面放置可增加自由基向表面的供应,而改善沉积工艺。
自由基的寿命通常取决于周围环境的压力。因此,提供令人满意的自由基浓度的底间隙132的高度可取决于操作期间的预期腔室压力而改变。在一些实施方式中,如果要在1-10Torr范围中的压力下操作腔室,那么底间隙132小于1cm。
在其他(较)低温度等离子体增强ALD工艺中,对于ALD膜的沉积和处理来说,暴露于等离子体离子通量(和伴随的电子通量)以及自由基通量可为必需的。在一些实施方式中,如果要在1-10Torr的范围中的压力下操作腔室,那么底间隙132小于5cm——例如,2-25mm(例如,5mm)。较低的操作压力可在较大的间隙处操作,这是由于相对于距离的较低的体复合速率(volume recombination rate)。在其他应用中(诸如蚀刻),通常使用较低的操作压力(小于100mTorr),并且间隙可增大。
在底间隙132小的这样的应用中,由电极组件120产生的等离子体可在线状物之间具有显著的不均匀性,这可对工件的处理均匀性有害。通过将工件移动通过具有空间不均匀性的等离子体,而可通过时间平均效应(time-averaging effect)来减轻等离子体空间不均匀性对工艺的影响;即,在单次穿过等离子体后由工件的任何给定区域接收的累积等离子体剂量大体上相似。
可选择足够大的顶间隙,以便等离子体在腔室内电极组件与顶电极(或腔室的顶部)之间发展。在一些实施方式中,如果要在1-10Torr的范围中的压力下操作腔室,那么顶间隙130可在0.5-2cm之间(例如,1.25cm)。
可用各种方式构造顶电极108。在一些实施方式中,顶电极连接至RF接地140。在一些实施方式中,顶电极是电隔离的(“浮置(floating)”)。在一些实施方式中,顶电极108被加偏压为偏置电压。偏置电压可用于控制所产生等离子体的特性,包括离子能量。在一些实施方式中,用RF信号驱动顶电极108。例如,相对于已接地的工件支撑电极116来驱动顶电极108可提高工件115处的等离子体电位。经提高的等离子体电位可使离子能量增大至期望值。
顶电极108可由不同的工艺兼容材料形成。工艺可计算性的各种标准包括材料对通过工艺气体的蚀刻的抗性和对来自离子轰击的溅射的抗性。此外,在材料确实被蚀刻的情况下,工艺兼容材料优选地形成挥发性或气态的化合物,所述化合物可通过真空泵113排出并且不会形成可能污染工件115的微粒。因此,在一些实施方式中,顶电极由硅制成。在一些实施方式中,顶电极由碳化硅制成。在一些实施方式中,顶电极由碳基材料制成。
在一些实施方式中,可省略顶电极108。在这样的实施方式中,RF接地路径可由工件支撑电极或由电极组件120的共面线状物的子集或由腔室壁或其他与等离子体连通的参考接地的(ground-referenced)表面来提供。
在一些实施方式中,流体源146使流体通过腔室内电极组件120循环。在一些实施方式中,热交换器148耦接至流体源146以去除热量或向流体供应热量。
取决于腔室构造和所供应的处理气体,等离子体反应器100可提供ALD设备、蚀刻设备、等离子体处理设备、等离子体增强化学气相沉积设备、等离子体掺杂设备或等离子体表面清洁设备。
图2是等离子体反应器200的另一个范例的示意图。在此范例中,此范例除了以下所描述的之外与图1相同:腔室内电极组件120是弯曲的以由支撑件106支撑,并且流体源146可通过支撑件106耦接至腔室内电极组件120。相比之下,在图1的范例中,电极组件的线状物可从腔室主体102的侧壁形成并由腔室主体102的侧壁支撑。
图3是根据图2的腔室内电极组件的范例的透视图。图3示出支撑件106、顶电极108、顶间隙130和腔室内电极组件120。腔室内电极组件120包括横向延伸通过等离子体腔室的一个或多个线状物400。线状物包括在基座114上方延伸的中心部分312(见图2)和向上弯曲以被从支撑件106支撑的端部部分314。此构造可提供线状物自等离子体反应器100的顶部的紧凑安装和易接近性(accessibility)。
图4A至图4C是腔室内电极组件的线状物的各种范例的示意图。参考图4A,示出腔室内电极组件120的线状物400。线状物400包括导体410和围绕导体410并且沿导体410延伸的圆柱形外壳420。通路430由在导体410与圆柱形外壳420之间的间隙形成。圆柱形外壳420由与工艺兼容的非金属材料形成。在一些实施方式中,圆柱形外壳是半导电的。在一些实施方式中,圆柱形外壳是绝缘的。
导体410可由各种材料形成。在一些实施方式中,导体410为实心线,例如直径为0.063”的单根实心线。替代地,可由多股绞合线来提供导体410。在一些实施方式中,导体包含3根平行的0.032”绞合线。多股绞合线可减少通过趋肤效应的RF功率损耗。辫线(Litzwire)可进一步减弱趋肤效应。
使用具有高导电率(例如,高于107西门子/米)的材料,这可降低电阻功率损耗。在一些实施方式中,导体410由铜或铜合金制成。在一些实施方式中,导体由铝制成。
不希望的材料溅射或蚀刻会导致工艺污染或微粒形成。无论腔室内电极组件120是用作CCP源或是ICP源,都可发生不希望的溅射或蚀刻。可由电极表面处的过量离子能量而引起不希望的溅射或蚀刻。当作为CCP源来操作时,在圆柱形外壳周围的振荡电场对于驱动等离子体放电是必需的。这种振荡造成材料的溅射或蚀刻,由于所有已知材料具有低于CCP源的对应的最小工作电压的溅射能量阈值。当作为ICP源来操作时,线状物400与等离子体的电容耦合在附近表面处产生振荡电场,这也导致材料的溅射。可通过使用用于暴露于内部空间104的线状物400的外表面(例如,圆柱形外壳420)的工艺兼容材料来减轻由不希望的材料溅射或蚀刻导致的问题。
在一些实施方式中,圆柱形外壳420由诸如硅的工艺兼容材料(例如,高电阻率硅、氧化物材料、氮化物材料、碳化物材料、陶瓷材料或上述材料的组合)形成。氧化物材料的范例包括二氧化硅(例如,硅石、石英)和氧化铝(例如,蓝宝石)。碳化物材料的范例包括碳化硅。氮化物材料的范例包括氮化硅。对于某些包括含氟环境或含氟碳化合物环境的化学环境来说,陶瓷材料或蓝宝石可为期望的。在含有氨、二氯甲硅烷、氮和氧的化学环境中,使用硅、碳化硅或石英可为期望的。
在一些实施方式中,圆柱形外壳420具有0.1mm至3mm(例如,1mm)的厚度。外壳420可具有2-4mm(例如2mm)的内直径。
在一些实施方式中,在通路430中提供流体。在一些实施方式中,流体是清除氧气的非氧化性气体,以减轻导体410的氧化。非氧化性气体的范例为氮气和氩气。在一些实施方式中,非氧化性气体(例如通过流体源146而连续地流过通路430,以去除残余的氧或水蒸气。
导体410的加热可使导体更易于氧化。流体可向导体410提供冷却,导体410可由供应的RF功率加热。在一些实施方式中,流体(例如通过流体源146)经由通路430循环,以提供强制对流温度控制(例如,冷却或加热)。
在一些实施方式中,流体可处于或高于大气压以防止流体击穿(breakdown)。这可防止管中不想要的等离子体形成。通路430中的压力可为至少100Torr。
参考图4B,在线状物400的一些实施方式中,导体410具有涂层420。在一些实施方式中,涂层420是形成导体的材料的氧化物(例如,铝导体上的氧化铝)。在一些实施方式中,涂层420为二氧化硅。在一些实施方式中,通过例如硅烷、氢和氧的反应形成二氧化硅涂层来在等离子体反应器100中原位形成涂层420。原位涂覆可为有益的,因为原位涂覆可在蚀刻或溅射时补充。涂层可为0.1-10微米厚。
参考图4C,在线状物400的一些实施方式中,导体410是中空的,并且中空通路440形成在导体410内。在一些实施方式中,中空通路440可运送如图4A所述的流体。导体可为具有约为1-4mm(例如,2mm)的外直径和0.25-1mm(例如,0.5mm)的壁厚的中空管。工艺兼容材料的涂层可覆盖导体410以提供圆柱形外壳420。在一些实施方式中,涂层420是形成导体的材料的氧化物(例如铝导体上的氧化铝)。在一些实施方式中,中空导体410的外径为2mm,壁厚为0.5mm。
回到图1和图2,线状物400由框架支撑并从框架延伸。框架由诸如氧化物材料、氮化物材料、碳化物材料、陶瓷材料或上述材料的组合的工艺兼容材料形成。氧化物材料的范例包括二氧化硅(例如,硅石、石英)和氧化铝(例如,蓝宝石)。碳化物材料的范例包括碳化硅。在一些实施方式中,线状物400的框架和外壳由相同的材料(例如,石英)形成。
线状物400的外壳可熔合(fuse)至框架。这可形成流体紧密密封以防止工艺气体到达导体,并且因此可改善反应器的寿命并降低污染的可能性。
在一些实施方式中,例如,如图1所示,线状物400从框架水平延伸。在一些实施方式中,例如,如图2所示,框架提供顶板的一部分,并且线状物400从框架向下延伸。
在一些实施方式中,例如,如图2和图3所示,框架可由支撑件106提供。在其它实施方式中,框架是分离的主体(例如,安装至顶板或侧壁102的主体)。在一些实施方式中,框架由腔室的侧壁提供。腔室壁可以是导电的,但绝缘外壳可将导体与腔室壁隔离。
如图1所示,如果线状物400从框架水平伸出,那么框架可以是向下延伸以围绕顶间隙130的主体105。或者,例如,如图3所示,如果线状物从顶板向下延伸,那么支撑件106可包括围绕顶间隙130的向下伸出的壁107。主体105或壁107可与支撑件106一体形成或熔合至支撑件106以提供流体紧密密封。
图5A是腔室内电极组件的一部分的示意图。腔室内电极组件500包括多个线状物400,多个线状物400附接在支撑件502处。电极组件500可提供电极组件120,并且线状物400可提供电极组件120的线状物。在一些实施方式中,线状物平行于彼此而延伸。
线状物400由线状物间距510彼此分开。线状物间距510可为表面到表面的距离;对于平行线状物来说,可垂直于线状物的纵轴来测量间距。间距510可影响等离子体均匀性。如果间距太大,那么线状物可产生阴影(shadowing)和不均匀性。另一方面,如果间隔太小,那么等离子体不能在顶间隙130与底间隙132之间迁移,并且不均匀性将增大或者离子密度或自由基密度将减小。在一些实施方式中,线状物间距510跨组件500是均匀的。
线状物间距510可为3mm至20mm(例如,8mm)。在N2中的高压(例如2至10Torr)下,线状物间距可为20mm至3mm。对于压力范围的妥协可为5至10mm。在较低压力和到工件的较大距离下,可有效地使用较大的间距。
图5B至图5C是具有不同等离子体区域状态的腔室内电极组件的横截面示意图。参考图5B,等离子体区域512围绕线状物400。等离子体区域512具有上等离子体区域514和下等离子体区域516。上等离子体区域514位于顶间隙130处而下等离子体区域516位于底间隙132处。如图5B所示,上等离子体区域514与下等离子体区域516通过线状物400之间的间隙连接,形成连续的等离子体区域512。等离子体区域512的这种连续性是期望的,由于区域514与区域516通过等离子体交换而与彼此“连通”。特别是对于单极驱动(所有线状物连接至相同的功率源)和作为主要接地路径的接地顶电极来说,等离子体的交换有助于保持两个区域的电平衡,而助于等离子体稳定性和可重复性。
在单极驱动(其中相对于一些其他接地而驱动线状物)并且没有顶接地(诸如接地工件)的情况下,则不需要在线状物上方产生等离子体。同样在差分驱动(differentialdrive)的情况下(例如,交替的线状物连接至电源输出的每一侧),则可在线状物之间产生等离子体,因此线状物上方的等离子体不是必需的。然而,在这些情况下,接地的顶电极不应是有害的。
参考图5C,在此状态下,上等离子体区域514与下等离子体区域516不连接于彼此。等离子体区域512的这种“收缩(pinching)”对于等离子体稳定性而言是不希望的。等离子体区域512的形状可通过各种因素来调整,以去除等离子体区域不连续性或改善等离子体均匀性。
一般来说,区域512、区域514和区域516可具有宽范围的等离子体密度,并且不一定是均匀的。此外,图5C中所示的上等离子体区域514与下等离子体区域516之间的不连续性表示相对于两个区域的显著低的等离子体密度而不一定是在间隙中完全没有等离子体
顶间隙130是影响等离子体区域的形状的因素。取决于压力,当顶电极108接地时,减小顶间隙130通常造成上等离子体区域514中的等离子体密度减少。可基于等离子体腔室的计算机建模来确定顶间隙130的具体值。例如,顶间隙130可为3mm至8mm(例如,4.5mm)。
底间隙132是影响等离子体区域的形状的因素。取决于压力,当工件支撑电极116接地时,减小底间隙132通常造成下等离子体区域516中的等离子体密度减少。可基于等离子体腔室的计算机建模来确定底间隙132的具体值。例如,底间隙132可为3mm至9mm(例如,4.5mm)。
驱动相邻线状物400的RF信号的相位是影响等离子体区域的形状的因素。当驱动相邻线状物的两个RF信号的相位差设定为0度(“单极”或“单端”)时,等离子体区域被从线状物400之间的间隙推出,造成不连续性或不均匀性。当驱动相邻线状物的RF信号的相位差设定为180度(“差分”)时,等离子体区域被更强地限制在线状物400之间。可使用0度与360度之间的任何相位差来影响等离子体区域512的形状。
工件支撑电极116的接地是影响等离子体区域的形状的因素。电极116的不良RF接地与驱动相邻线状物的RF信号之间的0度相位差结合,推动等离子体区域朝向顶间隙。然而,如果用具有180度相位差的RF信号来驱动相邻线状物(例如,线状物402和线状物404),那么所得到的等离子体分布对电极116的不良RF接地较不敏感。不受任何特定理论的限制,这可能是因为RF电流由于驱动信号的差分性质而通过相邻电极返回。
在一些实施方式中,腔室内电极组件500可包括线状物400的第一组和第二组。可空间地排列第一组和第二组,使得线状物在第一组和第二组之间交替。例如,第一组可包括线状物402,第二组可包括线状物400和线状物404。第一组可由RF电源522的第一端子522a驱动,第二组可由RF电源522的第二端子522b驱动。RF电源522可经构造以在端子522a处提供第一RF信号,并且在端子522b处提供第二RF信号。第一RF信号和第二RF信号可具有彼此相同的频率和稳定的相位关系。例如,相位关系可包括0度和180度。在一些实施方式中,可在0度与360度之间调节由RF电源522提供的第一RF信号与第二RF信号之间的相位关系。在一些实施方式中,RF源522可包含彼此锁相的两个单独的RF电源。
图6A至图6C是腔室内电极组件构造的各种范例的示意图。参考图6A,腔室内电极组件600包括第一交叉指型电极子组件620和第二交叉指型电极子组件630。子组件620和子组件630各自具有多根平行线状物400,所述线状物400在一端处通过母线650连接。在一些实施方式中,连接线状物400的母线650位于内部空间104的外部。在一些实施方式中,连接线状物400的母线650位于内部空间104中。第一交叉指型电极子组件620和第二交叉指型电极子组件630平行于彼此取向,使得子组件620和子组件630的线状物彼此平行。
参考图6B,腔室内电极组件602包括第一电极子组件622和第二电极子组件632,第一电极子组件622和第二电极子组件632构造成使得子组件622与子组件632的线状物以对于彼此的非零角度(例如,彼此垂直)延伸。
可用各种方式以RF信号驱动腔室内电极组件602。在一些实施方式中,用相对于RF接地的相同的RF信号来驱动子组件622和子组件632。在一些实施方式中,用差分RF信号来驱动子组件622和子组件632。在一些实施方式中,用RF信号驱动子组件622,而子组件632连接至RF接地。
参考图6C,腔室内电极组件604包括重叠的第一电极子组件624和第二电极子组件634。第一电极子组件624和第二电极子组件634各自具有多根平行线状物400,所述线状物400通过母线660和母线662在两端连接。第一电极子组件624和第二电极子组件634经构造而使得子组件624和子组件634的线状物彼此平行,其中子组件624和子组件634的线状物以交替图案布置。
可用各种方式以RF信号驱动腔室内电极组件604。在一些实施方式中,用相对于RF接地的相同的RF信号来驱动子组件624和子组件634。在一些实施方式中,用差分RF信号来驱动子组件624和子组件634。在一些实施方式中,用RF信号驱动子组件624,而子组件634连接至RF接地。
在一些实施方式中,使用中心馈电640以单端方式用RF信号来驱动腔室内电极组件604。中心馈电640连接至中心处的X形电流分流器642。子组件624和子组件634的四个角使用竖直馈电结构而连接至X形电流分流器642。
一般来说,当不能提供足够的RF接地(例如,通过旋转水银耦合器、刷或滑环的RF接地)时,子组件620、子组件622和子组件624及相应的子组件630、子组件632和子组件634的差动驱动可改善等离子体均匀性或工艺可重复性。
已描述本发明的特定实施方式。然而,其他实施方式是可能的。例如:
·工件可在等离子体腔室内保持静止。
·平台可线性移动或旋转,使得工件在等离子体腔室中移动。
其他实施方式在随附的权利要求书的范围内。

Claims (25)

1.一种等离子体反应器,包括:
腔室主体,所述腔室主体具有提供等离子体腔室的内部空间并且具有顶板;
气体分配器,所述气体分配器用于将处理气体输送至所述等离子体腔室;
泵,所述泵耦合至所述等离子体腔室以抽空所述腔室;
工件支撑件,所述工件支撑件用于保持工件面向所述顶板;
腔室内电极组件,所述腔室内电极组件包括绝缘框架和在所述顶板与所述工件支撑件之间横向延伸穿过所述等离子体腔室的线状物,所述线状物包括导体,所述导体至少部分地由绝缘外壳围绕,所述绝缘外壳从所述绝缘框架延伸;和
第一RF功率源,所述第一RF功率源用于向所述腔室内电极组件的所述导体供应第一RF功率。
2.如权利要求1所述的等离子体反应器,其中所述绝缘外壳包括圆柱形外壳,所述圆柱形外壳在所述等离子体腔室内围绕整个所述导体并且沿着整个所述导体延伸。
3.如权利要求1所述的等离子体反应器,其中所述绝缘外壳由硅或者氧化物、氮化物或碳化物材料或上述材料的组合形成。
4.如权利要求3所述的等离子体反应器,其中所述绝缘外壳由硅石(silica)、蓝宝石或碳化硅形成。
5.如权利要求1所述的等离子体反应器,其中所述圆柱形外壳形成通路,并且所述导体悬在所述通路中并延伸穿过所述通路,或者所述导体包含中空通路。
6.如权利要求5所述的等离子体反应器,进一步包括流体源,所述流体源经构造而使流体通过所述通路循环。
7.如权利要求6所述的等离子体反应器,其中所述流体包含非氧化性气体。
8.如权利要求6所述的等离子体反应器,包括热交换器,所述热交换器经构造以从所述流体中去除热量或向所述流体供应热量。
9.如权利要求1所述的等离子体反应器,其中所述腔室内电极组件包括复数个共面线状物,所述复数个共面线状物在所述顶板与所述工件支撑件之间横向延伸穿过所述等离子体腔室。
10.如权利要求9所述的等离子体反应器,其中所述复数个共面线状物均匀地间隔开。
11.如权利要求9所述的等离子体反应器,其中所述复数个共面线状物包括直线线状物。
12.如权利要求11所述的等离子体反应器,其中所述复数个共面线状物平行地延伸通过所述等离子体腔室。
13.如权利要求1所述的等离子体反应器,其中所述外壳熔合至所述绝缘框架。
14.如权利要求1所述的等离子体腔室,其中所述外壳与所述绝缘框架为相同的材料组成。
15.如权利要求1所述的等离子体腔室,其中所述绝缘框架由硅石或陶瓷材料形成。
16.一种等离子体反应器,包括:
腔室主体,所述腔室主体具有内部空间,所述内部空间提供等离子体腔室并且具有顶板;
气体分配器,所述气体分配器用于将处理气体输送至所述等离子体腔室;
泵,所述泵耦合至所述等离子体腔室以抽空所述腔室;
工件支撑件,所述工件支撑件用于保持工件;
腔室内电极组件,所述腔室内电极组件包括绝缘框架和线状物,所述线状物包括从所述顶板向下延伸的第一部分和在所述顶板与所述工件支撑件之间横向延伸穿过所述等离子体腔室的第二部分,所述线状物包括导体,所述导体至少部分地由绝缘外壳围绕;和
第一RF电源,所述第一RF电源用于向所述腔室内电极组件的所述导体供应第一RF功率。
17.如权利要求16所述的等离子体反应器,其中所述腔室内电极组件包括复数个线状物,每个线状物包括从所述顶板向下延伸的第一部分和在所述顶板与所述工件支撑件之间横向延伸穿过所述等离子体腔室的第二部分。
18.如权利要求16所述的等离子体反应器,其中所述复数个线状物的所述第二部分是共面的。
19.如权利要求16所述的等离子体反应器,其中所述支撑件包括向下伸出的侧壁,所述侧壁围绕在所述顶板与所述线状物的所述第二部分之间的体积。
20.如权利要求19所述的等离子体反应器,其中所述侧壁由氧化硅或陶瓷材料形成。
21.如权利要求19所述的等离子体反应器,其中所述顶板包括绝缘框架,并且所述线状物延伸出所述绝缘框架。
22.如权利要求21所述的等离子体反应器,其中所述外壳熔合至所述框架。
23.如权利要求21所述的等离子体反应器,其中所述外壳和所述支撑件为相同的材料组成。
24.一种等离子体反应器,包括:
腔室主体,所述腔室主体具有提供等离子体腔室的内部空间,并且具有顶板和用于保持顶电极的绝缘支撑件;
气体分配器,所述气体分配器用于将处理气体输送至所述等离子体腔室;
泵,所述泵耦合至所述等离子体腔室以抽空所述腔室;
工件支撑件,所述工件支撑件用于保持工件面向所述顶电极;
腔室内电极组件,所述腔室内电极组件包括在所述顶电极与所述工件支撑件之间横向延伸穿过所述等离子体腔室的线状物,所述线状物包括至少部分地由绝缘外壳围绕的导体,所述绝缘外壳从所述绝缘框架延伸;和
第一RF功率源,所述第一RF功率源用于向所述腔室内电极组件的所述导体供应第一RF功率。
25.一种等离子体反应器,包括:
腔室主体,所述腔室主体具有提供等离子体腔室的内部空间并且具有顶板;
气体分配器,所述气体分配器用于将处理气体输送至所述等离子体腔室;
泵,所述泵耦合至所述等离子体腔室以抽空所述腔室;
工件支撑件,所述工件支撑件用于保持工件;
腔室内电极组件,所述腔室内电极组件包括绝缘框架、第一复数个共面线状物和第二复数个共面线状物,所述第一复数个共面线状物沿着第一方向在所述顶板与所述工件支撑件之间横向延伸穿过所述等离子体腔室,所述第二复数个共面线状物沿着垂直于所述第一方向的第二方向平行地延伸穿过所述等离子体腔室,所述第一复数个线状物和所述第二复数个线状物中的每个线状物包括至少部分地由绝缘外壳围绕的导体;和
第一RF功率源,所述第一RF功率源用于向所述腔室内电极组件的所述导体供应第一RF功率。
CN201880026528.2A 2017-04-24 2018-04-23 具有电极线状物的等离子体反应器 Pending CN110537242A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762489344P 2017-04-24 2017-04-24
US62/489,344 2017-04-24
US15/630,833 2017-06-22
US15/630,748 US20180308661A1 (en) 2017-04-24 2017-06-22 Plasma reactor with electrode filaments
US15/630,748 2017-06-22
US15/630,833 US11424104B2 (en) 2017-04-24 2017-06-22 Plasma reactor with electrode filaments extending from ceiling
PCT/US2018/028930 WO2018200404A1 (en) 2017-04-24 2018-04-23 Plasma reactor with electrode filaments

Publications (1)

Publication Number Publication Date
CN110537242A true CN110537242A (zh) 2019-12-03

Family

ID=63852422

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880026528.2A Pending CN110537242A (zh) 2017-04-24 2018-04-23 具有电极线状物的等离子体反应器

Country Status (6)

Country Link
US (2) US20180308661A1 (zh)
JP (1) JP7051897B2 (zh)
KR (1) KR102505096B1 (zh)
CN (1) CN110537242A (zh)
TW (1) TWI776874B (zh)
WO (1) WO2018200404A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767618B (zh) * 2020-04-02 2022-06-11 大陸商中微半導體設備(上海)股份有限公司 等離子體反應器及其射頻功率分佈調節方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180308661A1 (en) * 2017-04-24 2018-10-25 Applied Materials, Inc. Plasma reactor with electrode filaments
US10510515B2 (en) 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly
US10669430B2 (en) * 2018-07-17 2020-06-02 Varian Semiconductor Equipment Associates, Inc. Anti-reflective coating for transparent end effectors
US11961717B2 (en) * 2018-12-21 2024-04-16 Ozone 1 Pty Ltd Plasma reactors
CN118231321B (zh) * 2024-05-24 2024-08-06 上海陛通半导体能源科技股份有限公司 带静电卡盘的半导体设备

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1261927A (zh) * 1997-07-07 2000-08-02 Cvd金刚石公司 利用热灯丝直流等离子体进行金刚石成核和沉积的设备及方法
US20010021422A1 (en) * 2000-03-13 2001-09-13 Mitsubishi Heavy Industries, Ltd. Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP2001257098A (ja) * 2000-03-13 2001-09-21 Mitsubishi Heavy Ind Ltd 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
JP2001274099A (ja) * 2000-03-24 2001-10-05 Mitsubishi Heavy Ind Ltd 放電電極への給電方法、高周波プラズマ発生方法および半導体製造方法
JP2001274101A (ja) * 2000-03-27 2001-10-05 Mitsubishi Heavy Ind Ltd 棒状電極を有するプラズマ化学蒸着装置
JP2002305151A (ja) * 2001-04-05 2002-10-18 Mitsubishi Heavy Ind Ltd 表面処理装置及び表面処理方法
US20060138957A1 (en) * 2004-12-27 2006-06-29 Ngk Insulators, Ltd. Plasma generating electrode and plasma reactor
CN102264943A (zh) * 2005-02-23 2011-11-30 摩托罗拉公司 用于碳纳米管生长的装置和方法
JP2014049541A (ja) * 2012-08-30 2014-03-17 Mitsubishi Heavy Ind Ltd 薄膜製造装置及びその電極電圧調整方法
CN205177785U (zh) * 2013-03-14 2016-04-20 应用材料公司 处理腔室及用于将热线源耦接至该处理腔室的装置

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4765179A (en) 1985-09-09 1988-08-23 Solid State Farms, Inc. Radio frequency spectroscopy apparatus and method using multiple frequency waveforms
US4825467A (en) 1986-11-25 1989-04-25 International Telesystems, Inc. Restricted access television transmission system
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3132599B2 (ja) 1992-08-05 2001-02-05 株式会社日立製作所 マイクロ波プラズマ処理装置
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6082294A (en) * 1996-06-07 2000-07-04 Saint-Gobain Industrial Ceramics, Inc. Method and apparatus for depositing diamond film
JP4812938B2 (ja) * 1997-12-15 2011-11-09 レック シリコン インコーポレイテッド 多結晶シリコン棒製造用化学的蒸気析着方式
JP3544136B2 (ja) 1998-02-26 2004-07-21 キヤノン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3332857B2 (ja) 1998-04-15 2002-10-07 三菱重工業株式会社 高周波プラズマ発生装置及び給電方法
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
DE60134081D1 (de) 2000-04-13 2008-07-03 Ihi Corp Herstellungsverfahren von Dünnschichten, Gerät zur Herstellung von Dünnschichten und Sonnenzelle
ATE452219T1 (de) 2000-05-17 2010-01-15 Ihi Corp Plasma-cvd-vorrichtung und verfahren
JP3872363B2 (ja) 2002-03-12 2007-01-24 京セラ株式会社 Cat−PECVD法
WO2003095130A1 (en) 2002-05-08 2003-11-20 Dana Corporation Plasma-assisted sintering
JP3840147B2 (ja) * 2002-06-21 2006-11-01 キヤノン株式会社 成膜装置、成膜方法およびそれを用いた電子放出素子、電子源、画像形成装置の製造方法
JP2004055600A (ja) 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
KR100465907B1 (ko) 2002-09-26 2005-01-13 학교법인 성균관대학 자장이 인가된 내장형 선형 안테나를 구비하는 대면적처리용 유도 결합 플라즈마 소오스
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
JP2004128159A (ja) 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd 高周波プラズマ発生装置および高周波プラズマ発生方法
ES2367752T3 (es) 2002-10-29 2011-11-08 Mitsubishi Heavy Industries, Ltd. Procedimiento y dispositivo para generar plasma uniforme de alta frecuencia sobre un area de gran superficie.
JP3902113B2 (ja) 2002-10-31 2007-04-04 三菱重工業株式会社 プラズマ化学蒸着方法
US20050067934A1 (en) 2003-09-26 2005-03-31 Ishikawajima-Harima Heavy Industries Co., Ltd. Discharge apparatus, plasma processing method and solar cell
EP1574597B1 (en) * 2004-03-12 2012-01-11 Universiteit Utrecht Holding B.V. Process for producing thin films and devices
US8293069B2 (en) 2004-03-15 2012-10-23 Sungkyunkwan University Inductively coupled plasma apparatus
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7842159B2 (en) * 2005-07-14 2010-11-30 Sungkyunkwan University Foundation For Corporate Collaboration Inductively coupled plasma processing apparatus for very large area using dual frequency
JP2007067157A (ja) 2005-08-31 2007-03-15 Tokyo Ohka Kogyo Co Ltd 気相反応処理装置
US7455735B2 (en) 2005-09-28 2008-11-25 Nordson Corporation Width adjustable substrate support for plasma processing
US9194036B2 (en) * 2007-09-06 2015-11-24 Infineon Technologies Ag Plasma vapor deposition
JP2008047938A (ja) 2007-10-17 2008-02-28 Masayoshi Murata 高周波プラズマcvd装置と高周波プラズマcvd法及び半導体薄膜製造法。
FR2922696B1 (fr) 2007-10-22 2010-03-12 St Microelectronics Sa Resonateur a ondes de lamb
JP4540742B2 (ja) 2008-01-25 2010-09-08 三井造船株式会社 原子層成長装置および薄膜形成方法
KR101111494B1 (ko) * 2008-02-18 2012-02-23 미쯔이 죠센 가부시키가이샤 원자층 성장 장치 및 원자층 성장 방법
JP5136134B2 (ja) 2008-03-18 2013-02-06 ソニー株式会社 バンドパスフィルタ装置、その製造方法、テレビジョンチューナおよびテレビジョン受信機
RU2011102451A (ru) * 2008-06-23 2012-07-27 ДжиТи СОЛАР ИНКОРПОРЕЙТЕД (US) Точки соединения держателя и перемычки для трубчатых нитей накала в реакторе для химического осаждения из газовой фазы
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
KR101073834B1 (ko) * 2009-09-10 2011-10-14 주성엔지니어링(주) 플라즈마 처리장치 및 처리방법
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8492736B2 (en) * 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
US8914166B2 (en) 2010-08-03 2014-12-16 Honeywell International Inc. Enhanced flight vision system for enhancing approach runway signatures
JP5919482B2 (ja) * 2011-03-03 2016-05-18 パナソニックIpマネジメント株式会社 触媒化学気相成膜装置、それを用いた成膜方法及び触媒体の表面処理方法
JP5505731B2 (ja) * 2011-03-10 2014-05-28 日新イオン機器株式会社 イオン源
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
JP5495138B2 (ja) 2011-10-31 2014-05-21 日新イオン機器株式会社 イオン源
US20130105083A1 (en) 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings
US9396900B2 (en) 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
CN102548177B (zh) 2012-01-13 2014-07-02 北京交通大学 等离子体空气净化装置的放电电极结构
DE102012103425A1 (de) 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US20130292057A1 (en) 2012-04-26 2013-11-07 Applied Materials, Inc. Capacitively coupled plasma source with rf coupled grounded electrode
US9419583B2 (en) 2013-04-22 2016-08-16 Northeastern University Nano- and micro-electromechanical resonators
US9355821B2 (en) 2013-06-19 2016-05-31 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan Large-area plasma generating apparatus
EP2849204B1 (de) * 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasmaerzeugungsvorrichtung
DE102013112855A1 (de) 2013-11-21 2015-05-21 Aixtron Se Vorrichtung und Verfahren zum Fertigen von aus Kohlenstoff bestehenden Nanostrukturen
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9554738B1 (en) 2016-03-30 2017-01-31 Zyomed Corp. Spectroscopic tomography systems and methods for noninvasive detection and measurement of analytes using collision computing
US20180308663A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with phase shift applied across electrode array
US20180308661A1 (en) * 2017-04-24 2018-10-25 Applied Materials, Inc. Plasma reactor with electrode filaments
US20180308664A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with filaments and rf power applied at multiple frequencies
US20180308667A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with groups of electrodes
US11114284B2 (en) * 2017-06-22 2021-09-07 Applied Materials, Inc. Plasma reactor with electrode array in ceiling
US10510515B2 (en) * 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1261927A (zh) * 1997-07-07 2000-08-02 Cvd金刚石公司 利用热灯丝直流等离子体进行金刚石成核和沉积的设备及方法
US20010021422A1 (en) * 2000-03-13 2001-09-13 Mitsubishi Heavy Industries, Ltd. Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP2001257098A (ja) * 2000-03-13 2001-09-21 Mitsubishi Heavy Ind Ltd 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
JP2001274099A (ja) * 2000-03-24 2001-10-05 Mitsubishi Heavy Ind Ltd 放電電極への給電方法、高周波プラズマ発生方法および半導体製造方法
JP2001274101A (ja) * 2000-03-27 2001-10-05 Mitsubishi Heavy Ind Ltd 棒状電極を有するプラズマ化学蒸着装置
JP2002305151A (ja) * 2001-04-05 2002-10-18 Mitsubishi Heavy Ind Ltd 表面処理装置及び表面処理方法
US20060138957A1 (en) * 2004-12-27 2006-06-29 Ngk Insulators, Ltd. Plasma generating electrode and plasma reactor
CN102264943A (zh) * 2005-02-23 2011-11-30 摩托罗拉公司 用于碳纳米管生长的装置和方法
JP2014049541A (ja) * 2012-08-30 2014-03-17 Mitsubishi Heavy Ind Ltd 薄膜製造装置及びその電極電圧調整方法
CN205177785U (zh) * 2013-03-14 2016-04-20 应用材料公司 处理腔室及用于将热线源耦接至该处理腔室的装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767618B (zh) * 2020-04-02 2022-06-11 大陸商中微半導體設備(上海)股份有限公司 等離子體反應器及其射頻功率分佈調節方法

Also Published As

Publication number Publication date
WO2018200404A1 (en) 2018-11-01
KR102505096B1 (ko) 2023-03-06
TWI776874B (zh) 2022-09-11
JP2020521269A (ja) 2020-07-16
KR20190134811A (ko) 2019-12-04
US11424104B2 (en) 2022-08-23
JP7051897B2 (ja) 2022-04-11
TW201903819A (zh) 2019-01-16
US20180308661A1 (en) 2018-10-25
US20180308666A1 (en) 2018-10-25

Similar Documents

Publication Publication Date Title
CN110537242A (zh) 具有电极线状物的等离子体反应器
US6664737B1 (en) Dielectric barrier discharge apparatus and process for treating a substrate
US10510515B2 (en) Processing tool with electrically switched electrode assembly
TWI324026B (en) Plasma producing method and apparatus as well as plasma processing apparatus
US11114284B2 (en) Plasma reactor with electrode array in ceiling
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
EP3794628B1 (en) Plasma processing tool
JP2003109798A (ja) 放電装置、プラズマ処理方法および太陽電池
US11355321B2 (en) Plasma reactor with electrode assembly for moving substrate
TWI770144B (zh) 電漿處理裝置
KR102501096B1 (ko) 플라즈마 반응기의 전극들에의 전력 인가
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
US20180308667A1 (en) Plasma reactor with groups of electrodes
KR20230108221A (ko) 펄스 자기장을 사용한 플라즈마 균일성 제어
KR101241951B1 (ko) 플라즈마 발생장치 및 기판의 플라즈마 처리방법
KR100603286B1 (ko) 다중심축을 가지는 안테나와, 이를 채용한 유도 결합형플라즈마 발생 장치
JP5512728B2 (ja) プラズマ処理装置
JP2023550342A (ja) 静磁場を使用するプラズマ一様性制御
CN111819666A (zh) 蚀刻方法和等离子体处理装置
JP2006261508A (ja) プラズマcvd装置
JP2004128257A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20191203