JP2020521269A - 電極フィラメントを有するプラズマ反応器 - Google Patents

電極フィラメントを有するプラズマ反応器 Download PDF

Info

Publication number
JP2020521269A
JP2020521269A JP2019557440A JP2019557440A JP2020521269A JP 2020521269 A JP2020521269 A JP 2020521269A JP 2019557440 A JP2019557440 A JP 2019557440A JP 2019557440 A JP2019557440 A JP 2019557440A JP 2020521269 A JP2020521269 A JP 2020521269A
Authority
JP
Japan
Prior art keywords
chamber
plasma
electrode assembly
ceiling
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019557440A
Other languages
English (en)
Other versions
JPWO2018200404A5 (ja
JP7051897B2 (ja
Inventor
ケネス エス. コリンズ,
ケネス エス. コリンズ,
マイケル アール. ライス,
マイケル アール. ライス,
カーティク ラーマスワーミ,
カーティク ラーマスワーミ,
ジェームズ ディー. カルドゥッチ,
ジェームズ ディー. カルドゥッチ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020521269A publication Critical patent/JP2020521269A/ja
Publication of JPWO2018200404A5 publication Critical patent/JPWO2018200404A5/ja
Application granted granted Critical
Publication of JP7051897B2 publication Critical patent/JP7051897B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/3277Continuous moving of continuous material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

プラズマ反応器が、プラズマチャンバを提供する内部空間を有し天井を有するチャンバ本体、プラズマチャンバに処理ガスを供給するガス供給器、チャンバを排気するためのプラズマチャンバに連結されたポンプ、天井に対面する加工対象物を保持するための加工対象物支持体、絶縁枠及び天井と加工対象物支持体との間でプラズマチャンバを通って側方に延在するフィラメントを含むチャンバ内電極アセンブリであって、フィラメントが、絶縁枠から延在する絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びにチャンバ内電極アセンブリの導体に第1のRF電力を供給するための第1のRF電源を含む。【選択図】図2

Description

本開示は、例えば、半導体ウエハなどの加工対象物上に膜を堆積させ、加工対象物をエッチングし、又は処理するためのプラズマ反応器に関する。
プラズマは、通常、容量結合プラズマ(CCP)源又は誘導結合プラズマ(ICP)源を使用して生成される。基本的なCCP源は、平行板コンデンサに類似した、ガス環境内で小さい距離だけ分離された2つの金属電極を含む。2つの金属電極のうちの一方が、一定の周波数の無線周波数(RF)電源によって駆動されると同時に、他方の電極は、RF接地に接続されており、2つの電極間にRF電界を生成する。生成された電界は、ガス原子をイオン化し、電子を放出する。ガス内の電子は、RF電界によって加速され、直接又は間接に衝突することによってガスをイオン化し、プラズマを生成する。
基本的なICP源は、通常、螺旋又はコイル形状の導体を含む。RF電流が導体を通って流れたときに、導体の周りにRF磁界が生成される。RF磁界は、RF電界に付随して生じ、RF電界は、ガス原子をイオン化しプラズマを生成する。
集積回路の製造において、様々なプロセスガスのプラズマが広く使用されている。例えば、プラズマは、薄膜堆積、エッチング、及び表面処理において使用することができる。
原子層堆積(ALD)は、気相化学プロセスの連続的な使用に基づく、薄膜堆積技法である。あるALDプロセスは、化学反応のために必要な活性化エネルギーを供給するために、プラズマを使用する。プラズマALDプロセスは、プラズマでない(例えば、「熱」)ALDプロセスより低い温度で実行することができる。
一態様では、プラズマ反応器が、プラズマチャンバを提供する内部空間を有し天井を有するチャンバ本体、プラズマチャンバに処理ガスを供給するガス供給器、チャンバを排気するためのプラズマチャンバに連結されたポンプ、天井に対面する加工対象物を保持するための加工対象物支持体、絶縁枠及び天井と加工対象物支持体との間でプラズマチャンバを通って側方に延在するフィラメントを含むチャンバ内電極アセンブリであって、フィラメントが、絶縁枠から延在する絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びにチャンバ内電極アセンブリの導体に第1のRF電力を供給するための第1のRF電源を含む。
実施態様は、以下の特徴のうちの1以上を含み得る。
絶縁シェルは、プラズマチャンバ内で導体の全体を囲み導体の全体に沿って延在する円筒形状シェルであってよい。絶縁シェルは、シリコン、若しくは、酸化物、窒化物、若しくは炭化物材料、又はそれらの組み合わせから形成されてよい。絶縁シェルは、シリカ、サファイア、又は炭化ケイ素から形成されてよい。絶縁シェルは、導体上のコーティングであってよい。円筒形状シェルは、チャネルを形成してよく、導体は、チャネル内で宙吊りにされチャネルを通って延在してよく、又は導体は中空チャネルを有してよい。チャネルを通して流体を循環させるように、流体源が構成されてよい。流体は、非酸化性ガスであってよい。流体から熱を除去し又は流体に熱を供給するように、熱交換器が構成されてよい。
チャンバ内電極アセンブリは、天井と加工対象物支持体との間でプラズマチャンバを通って側方に延在する複数の同一平面にあるフィラメントを有してよい。複数の同一平面にあるフィラメントは、均一に間隔を空けられてよい。同一平面にあるフィラメントと加工対象物支持体の表面との間の面対面の間隔は、2mmから25mmの範囲内であってよい。複数の同一平面にあるフィラメントは、直線的なフィラメントを含んでよい。複数の同一平面にあるフィラメントは、プラズマチャンバを通って平行に延在してよい。複数の同一平面にあるフィラメントは、均一に間隔を空けられてよい。
シェルは、絶縁枠に融着されていてよい。シェルと絶縁枠は、同じ材料組成であってよい。絶縁枠は、シリカ又はセラミック材料から形成されてよい。
別の一態様では、プラズマ反応器が、プラズマチャンバを提供する内部空間を有し天井及び上端電極を保持するための絶縁支持体を有するチャンバ本体、プラズマチャンバに処理ガスを供給するガス供給器、チャンバを排気するためのプラズマチャンバに連結されたポンプ、上端電極に対面する加工対象物を保持するための加工対象物支持体、上端電極と加工対象物支持体との間でプラズマチャンバを通って側方に延在するフィラメントを備えたチャンバ内電極アセンブリであって、フィラメントが、絶縁枠から延在する絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びにチャンバ内電極アセンブリの導体に第1のRF電力を供給するための第1のRF電源を含む。
実施態様は、以下の特徴のうちの1以上を含んでよい。
上端電極は、シリコン、炭素、又はそれらの組み合わせから形成されてよい。絶縁枠は、酸化物、窒化物、又はそれらの組み合わせであってよい。絶縁枠は、酸化ケイ素、酸化アルミニウム、又は窒化ケイ素から形成されてよい。
別の一態様では、プラズマ反応器が、プラズマチャンバを提供し天井を有する内部空間を有するチャンバ本体、プラズマチャンバに処理ガスを供給するガス供給器、チャンバを排気するためのプラズマチャンバに連結されたポンプ、加工対象物を保持するための加工対象物支持体、絶縁枠及びフィラメントを含むチャンバ内電極アセンブリであって、フィラメントが、天井から下向きに延在する第1の部分及び天井と加工対象物支持体との間でプラズマチャンバを通って側方に延在する第2の部分を含み、フィラメントが、絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びにチャンバ内電極アセンブリの導体に第1のRF電力を供給するための第1のRF電源を含む。
実施態様は、以下の特徴のうちの1以上を含んでよい。
チャンバ内電極アセンブリは、複数のフィラメントを含んでよい。各フィラメントは、天井から下向きに延在する第1の部分、及び、天井と加工対象物支持体と間でプラズマチャンバを通って側方に延在する第2の部分を含んでよい。複数のフィラメントの第2の部分は、同一平面にあってよい。複数のフィラメントの第2の部分は、均一に間隔を空けられてよい。複数のフィラメントの第2の部分は、直線的であってよい。
支持体は、天井とフィラメントの第2の部分との間の空間を囲む下向きに突出した側壁を含んでよい。側壁は、酸化ケイ素又はセラミック材料から形成されてよい。天井は、絶縁枠を含んでよく、フィラメントは、絶縁枠から延在してよい。シェルは、枠に融着されていてよい。シェル及び支持体は、同じ材料組成を有してよい。絶縁枠は、シリカ又はセラミック材料から形成されてよい。
別の一態様では、プラズマ反応器が、プラズマチャンバを提供する内部空間を有し天井を有するチャンバ本体、プラズマチャンバに処理ガスを供給するガス供給器、チャンバを排気するためのプラズマチャンバに連結されたポンプ、加工対象物を保持するための加工対象物支持体、及びチャンバ内電極アセンブリを含む。チャンバ内電極アセンブリは、絶縁枠、第1の方向に沿って天井と加工対象物支持体との間でプラズマチャンバを通って側方に延在する第1の複数の同一平面にあるフィラメント、及び第1の方向と垂直な第2の方向に沿ってプラズマチャンバを通って平行に延在する第2の複数の同一平面にあるフィラメントを含む。第1及び第2の複数のフィラメントのそれぞれのフィラメントは、絶縁シェルによって少なくとも部分的に囲まれた導体を含む。第1のRF電源は、チャンバ内電極アセンブリの導体に第1のRF電力を供給する。
特定の実施態様は、以下の利点のうちの1以上を有し得る。プラズマの均一性が改善され得る。プラズマプロセスの再現性が改善され得る。金属汚染が低減され得る。粒子の生成が低減され得る。プラズマチャージングダメージが低減され得る。プラズマの均一性が、種々のプロセス動作条件にわたり維持され得る。プラズマ電力結合効率が改善され得る。
本発明の1以上の実施形態の詳細が、添付の図面及び以下の記述の中で説明される。本発明の他の特徴、目的及び利点は、これらの記述及び図面から、並びに特許請求の範囲から明らかになろう。
プラズマ反応器の一実施例の概略側面図である。 プラズマ反応器の別の一実施例の概略側面図である。 図2によるチャンバ内電極アセンブリの一実施例の斜視図である。 図4A〜図4Cは、チャンバ内電極アセンブリのフィラメントの様々な実施例の概略断面斜視図である。 図5Aは、チャンバ内電極アセンブリの一部分の概略上面図である。図5B〜図5Cは、種々のプラズマ領域状態を有するチャンバ内電極アセンブリの断面概略側面図である。 図6A〜図6Cは、チャンバ内電極アセンブリ構成の様々な実施例の概略上面図である。
様々な図面における類似の参照符号は、類似した要素を指し示している。
従来のCCP源内のプラズマ均一性は、通常、(1以上の)電極のサイズ及び電極間の距離によって、並びにガス圧力、ガス組成、及び印加されるRF電力によって決まる。より高い無線周波数では、定常波の存在や表皮効果により、更なる効果が著しくなったり、不均一性に影響を及ぼしたりする場合さえある。そのような更なる効果は、より高い周波数及びプラズマ密度でより顕著になる。
従来のICP源内のプラズマ均一性は、通常、(1以上の)ICPコイルの構成(そのサイズ、幾何学的形状、関連するRFウィンドウの位置を含む)によって、並びにガス圧力、ガス組成、及び電力によって決まる。複数のコイル又はコイルセグメントの場合では、(同じ周波数で駆動される場合)電流又は電力分布及びそれらの相対的な位相も、重要な要因となり得る。電力堆積(power deposition)は、表皮効果によりICPコイルの下又はICPコイルに隣接して数センチメートル以内で生じる傾向があり、そのような局所的な電力堆積は、通常、コイルの幾何学的形状を反映したプロセスの不均一性をもたらす。そのようなプラズマの不均一性は、加工対象物にわたる電位差をもたらし、それは、プラズマチャージングダメージ(例えば、トランジスタのゲート誘電破壊)ももたらし得る。
通常、ICP源の均一性を改善するためには、大きな拡散距離が必要である。しかし、通常、厚いRFウィンドウを有する従来のICP源は、低い電力結合のために高いガス圧力で非効率であり、それは、高い抵抗電力損失をもたらす高い駆動電流を引き起こす。対照的に、チャンバ内電極アセンブリは、RFウィンドウを有する必要はないが、円筒形状シェルだけを有する必要がある。これは、より優れた電力結合及びより優れた効率を提供することができる。
移動する加工対象物支持体を有するプラズマチャンバでは、移動する加工対象物支持体が、例えば、回転水銀カプラ、ブラシ、又はスリップリングを介して、DC接地されてよい。しかし、移動する加工対象物支持体は、無線周波数で適切に接地されない恐れがある。RF接地経路は、適切なRF接地になるために、プラズマよりも大幅に低いインピーダンスを有するべきである。RF接地経路を欠いている場合、加工対象物におけるイオンエネルギーを制御することは困難となり、プロセスの再現性を低減させる。
したがって、以下の特性を有するプラズマ源が所望される。すなわち、加工対象物のサイズにわたり所望の諸特性(プラズマ密度、電子温度、イオンエネルギー、解離など)を有する均一なプラズマを効率的に生成することができ、動作しているウィンドウ(例えば、圧力、電力、ガス組成)にわたり均一性を調整することができ、移動している加工対象物であってさえも安定的であり再現可能な電気性能を有し、過剰な金属汚染又は粒子を生成しない。チャンバ内電極アセンブリは、これらの諸特性のうちの1以上をより適切に提供することができる。
図1は、プラズマ反応器の一実施例の概略側面図である。プラズマ反応器100は、プラズマチャンバとして使用されるために内部空間104を閉じるチャンバ本体102を有する。内部空間104は、例えば、円形の半導体ウエハを処理するために、円筒形状であってよい。チャンバ本体102は、プラズマ反応器100の天井の近くに位置付けられた支持体106を有する。支持体106は、上端電極108を支持する。上端電極は、内部空間104内で宙吊りにされてよく、天井から間隔を空けられ、天井に隣接し、又は天井の一部分を形成してよい。チャンバ本体102の側壁のある部分は、上端電極108から独立して接地されていてよい。
ガス供給器110は、プラズマ反応器100の天井の近くに位置付けられている。ある実施態様では、ガス供給器110が、単一の構成要素として上端電極108と一体化される。ガス供給器110は、ガス源112に連結されている。ガス源112は、ガス供給器110に1以上のプロセスガスを供給し、1以上のプロセスガスの組成は、実行されるプロセス(例えば、堆積やエッチング)に応じて変更され得る。プラズマ反応器を排気するために、真空ポンプ113が内部空間104に連結されている。あるプロセスでは、チャンバがTorrの範囲内で動作し、ガス供給器110は、アルゴン、窒素、酸素、及び/又は他のガスを供給する。
加工対象物115を支持するための加工対象物支持ペデスタル114が、プラズマ反応器100内に位置決めされている。加工対象物支持ペデスタル114は、上端電極108に対面する加工対象物支持面114aを有する。
ある実施態様では、加工対象物支持ペデスタル114が、加工対象物支持ペデスタル114の内側に加工対象物支持電極116を含む。ある実施態様では、加工対象物支持電極116が、接地されていてよく、又は接地されているインピーダンス若しくは回路に接続されていてよい。ある実施態様では、RFバイアス発電機142が、インピーダンスマッチ144を介して加工対象物支持電極116に接続されていてよい。加工対象物支持電極116は、静電チャックを更に含んでよく、加工対象物バイアス電圧源118が、加工対象物支持電極116に接続されていてよい。RFバイアス発電機142は、プラズマを生成し、電極電圧若しくは電極シース電圧を制御し、又はプラズマのイオンエネルギーを制御するために使用されてよい。
更に、ペデスタル114は、加工対象物115を加熱又は冷却するための内部通路119を有してよい。ある実施態様では、埋め込まれた抵抗加熱器が、ペデスタルの内側、例えば、内部通路119の内側に設けられてよい。
ある実施態様では、加工対象物支持ペデスタル114が、底側内部空間132内に位置付けられた加熱要素からの輻射加熱及び/若しくは対流加熱を通じて、並びに/又はペデスタル114上の若しくはペデスタル114内に埋め込まれた抵抗加熱器によって加熱される。
チャンバ内電極アセンブリ120は、上端電極108と加工対象物支持ペデスタル114との間の内部空間104内に位置決めされている。この電極アセンブリ120は、ペデスタル114の支持面114aを覆ってチャンバ内で側方に延在する1以上のフィラメント400を含む。ペデスタル114を覆っている電極アセンブリ120のフィラメントの少なくとも一部分は、支持面114aと平行に延在する。上端電極108とチャンバ内電極アセンブリ120との間に、上側間隙130が形成されている。加工対象物支持ペデスタル114とチャンバ内電極アセンブリ120との間に、底側間隙132が形成されている。
電極アセンブリ120は、RF電源122によって駆動される。RF電源122は、1MHzから300MHzを超える周波数で、電極アセンブリ120の1以上のフィラメントに電力を印加することができる。あるプロセスでは、RF電源122が、周波数60MHzで100Wから2kWを超える全RF電力を供給する。
ある実施態様では、プラズマによって生成されたラジカル、イオン、又は電子を、加工対象物と相互作用させるように、底側間隙132を選択することが望ましいだろう。間隙の選択は、用途に依存するものであり、動作レジームに依存する。加工対象物の表面にラジカルフラックス(非常に低いイオン/電子フラックスであるが)を供給することが望ましいある用途では、より大きな間隙及び/又はより高い圧力での動作が選択されてよい。加工対象物の表面にラジカルフラックス及びかなりのプラズマイオン/電子フラックスを供給することが望ましい他の用途では、より小さい間隙及び/又はより低い圧力での動作が選択されてよい。例えば、ある低温プラズマALDプロセスでは、ALD膜の堆積又は処理のために、プロセスガスの自由ラジカルが必要である。自由ラジカルは、不対原子価電子(unpaired valence electron)を持つ原子又は分子である。通常、自由ラジカルは、他の物質に向けて高度な化学反応性を有する。自由ラジカルと他の化学種との反応は、しばしば、膜堆積において重要な役割を演じる。しかし、自由ラジカルは、通常、それらの高度な化学反応性のために短命であり、したがって、それらの寿命の範囲内で非常に遠くまで移動することができない。自由ラジカル源、すなわち、プラズマ源として作用するチャンバ内電極アセンブリ120を、加工対象物115の表面の近くに配置することにより、その表面に対する自由ラジカルの供給を増加させ、堆積プロセスを改善することができる。
通常、自由ラジカルの寿命は、周囲環境の圧力に依存する。したがって、満足のいく自由ラジカル濃度を提供する底側間隙132の高さは、動作中に期待されるチャンバ圧力に応じて変化し得る。ある実施態様では、チャンバが1〜10Torrの範囲内の圧力で動作する場合、底側間隙132は1cm未満である。
他の(より)低い温度のプラズマALDプロセスでは、ALD膜の堆積及び処理のために、ラジカルフラックスのみならずイオンフラックス(及び付随する電子フラックス)への曝露が必要であろう。ある実施態様では、チャンバが1〜10Torrの範囲内の圧力で動作する場合、底側間隙132は、5cm未満、例えば2〜25mm、例えば5mmである。より低い動作圧力は、距離に対するより低い体積再結合率(volume recombination rate)のために、より大きな間隙で動作することを可能にする。エッチングなどの他の用途では、通常、より低い動作圧力(100mTorr未満)が使用され、間隙が増加されてよい。
底側間隙132が小さいような用途では、電極アセンブリ120によって生成されるプラズマが、フィラメント間で大幅な不均一性を有する恐れがある。それは、加工対象物の処理均一性に対して有害であるだろう。空間的な不均一性を有するプラズマを通して加工対象物を移動させることによって、プロセスに対するプラズマの空間的な不均一性の効果が、時間平均効果によって軽減され得る。すなわち、プラズマを通る一回の通過の後で加工対象物の任意の与えられた領域によって受け取られる累積的なプラズマは、実質的に同様である。
上側間隙は、チャンバ内電極アセンブリと上端電極(又はチャンバの上端)との間でプラズマが生成されるのに十分な大きさで選択されてよい。ある実施態様では、チャンバが1〜10Torrの範囲内の圧力で動作する場合、上側間隙130は、0.5〜2cm、例えば1.25cmであってよい。
上側電極108は、様々なやり方で構成することができる。ある実施態様では、上端電極が、RF接地140に接続されている。ある実施態様では、上端電極が、電気的に孤立している(「浮遊している」)。ある実施態様では、上端電極108が、バイアス電圧にバイアスされている。バイアス電圧を使用して、(イオンエネルギーを含む)生成されたプラズマの諸特性を制御することができる。ある実施態様では、上端電極108が、RF信号によって駆動される。例えば、接地されてしまった加工対象物支持電極116に対して上端電極108を駆動することにより、加工対象物115におけるプラズマ電位を増加させることができる。プラズマ電位を増加させることにより、イオンエネルギーの増加を所望の値にすることが可能になる。
上端電極108は、種々のプロセス対応可能な材料から形成することができる。プロセス対応可能性に対する様々な基準は、プロセスガスによるエッチングに対する材料の耐性及びイオン衝撃からのスパッタリングに対する材料の耐性を含む。更に、材料がエッチングされる場合、プロセス対応可能な材料が、揮発性又はガス状の化合物を好適に生成する。その化合物は、真空ポンプ113によって排気されてよく、加工対象物115を汚染する可能性がある粒子を生成しない。したがって、ある実施態様では、上端電極がシリコンから作成される。ある実施態様では、上端電極が、炭化ケイ素から作成される。ある実施態様では、上端電極が、炭素ベースの材料から作成される。
ある実施態様では、上端電極108を省略することができる。そのような実施態様では、RF接地経路が、加工対象物支持電極によって若しくは電極アセンブリ120の同一平面にあるフィラメントのサブセットによって、又はプラズマに通じているチャンバ壁若しくは他の接地基準表面によって設けられてよい。
ある実施態様では、流体供給源146が、チャンバ内電極アセンブリ120を通して流体を循環させる。ある実施態様では、熱交換器148が、流体供給源146に連結されて、流体から熱を除去し又は流体に熱を供給する。
チャンバ構成及び供給される処理ガスに応じて、プラズマ反応器100は、ALD装置、エッチング装置、プラズマ処理装置、プラズマ化学気相堆積装置、プラズマドーピング装置、又はプラズマ表面洗浄装置を設けることができる。
図2は、プラズマ反応器200の別の一実施例の概略図である。この実施例は、チャンバ内電極アセンブリ120が、支持体106によって支持されるように湾曲しており、流体供給源146が、支持体106を通じてチャンバ内電極アセンブリ120に連結されてよいということを除いて、上述された図1のものと同じである。対照的に、図1の実施例では、電極アセンブリのフィラメントが、チャンバ本体102の側壁から現れ側壁によって支持されてよい。
図3は、図2によるチャンバ内電極アセンブリの一実施例の斜視図である。図3は、支持体106、上端電極108、上側間隙130、及びチャンバ内電極アセンブリ120を示している。チャンバ内電極アセンブリ120は、プラズマチャンバを通って側方に延在する1以上のフィラメント400を含む。フィラメントは、ペデスタル114(図2参照)を覆って延在する中央部分312、及び支持体106から支持されるように上向きに湾曲した端部314を含む。この構成は、コンパクトな設置、及びプラズマ反応器100の上側からフィラメントへのアクセス容易性のために設けられ得る。
図4A〜図4Cは、チャンバ内電極アセンブリのフィラメントの様々な実施例の概略図である。図4Aを参照すると、チャンバ内電極アセンブリ120のフィラメント400が示されている。フィラメント400は、導体410、及び導体410を囲み導体410に沿って延在する円筒形状シェル420を含む。チャネル430が、導体410と円筒形状シェル420の間の間隙によって形成されている。円筒形状シェル420は、プロセス対応可能な非金属材料から形成されている。ある実施態様では、円筒形状シェルが半導体である。ある実施態様では、円筒形状シェルが絶縁体である。
導体410は、様々な材料から形成されてよい。ある実施態様では、導体410が、単線、例えば0.063インチの直径を有する単一の単線である。代替的に、導体410は、複数の撚り線によって設けられてよい。ある実施態様では、導体が、3本の並列した0.032インチの撚り線を含む。複数の撚り線は、表皮効果を介したRF電力損失を低減させることができる。リッツ線は、表皮効果を更に低減させることができる。
(例えば、107ジーメンス/mを超える)高導電率を有する材料が使用される。その材料は、抵抗の電力損失を低減させることができる。ある実施態様では、導体410が、銅又は銅の合金から作成される。ある実施態様では、導体がアルミニウムから作成される。
望ましくない材料スパッタリング又はエッチングは、プロセスの汚染又は粒子の生成をもたらし得る。チャンバ内電極アセンブリ120が、CCP又はICP源として使用される場合、望ましくないスパッタリング又はエッチングが生じ得る。望ましくないスパッタリング又はエッチングは、電極面における過剰なイオンエネルギーによって引き起こされ得る。CCP源として動作するときに、プラズマ放電を駆動するために、円筒形状シェルの周りの振動する電界が必要である。全ての既知の材料が、CCP源の対応する最小動作電圧より低いスパッタリングエネルギー閾値を有するので、この振動は、材料のスパッタリング又はエッチングをもたらす。ICP源として動作するときに、プラズマに対するフィラメント400の容量結合は、近隣の表面において振動する電界を生成する。それも、材料のスパッタリングをもたらす。望ましくないスパッタリング又はエッチングから生じる問題は、内部空間104に曝露されるフィラメント400の外面(例えば、円筒形状シェル420)に対してプロセス対応可能な材料を使用することによって軽減され得る。
ある実施態様では、円筒形状シェル420が、シリコン(例えば、高い抵抗性を有するシリコン)、酸化物材料、窒化物材料、炭化物材料、セラミック材料、又はそれらの組み合わせなどの、プロセス対応可能な材料から形成される。酸化物材料の例は、二酸化シリコン(例えば、シリカ、石英)、及び酸化アルミニウム(例えば、サファイア)を含む。炭化物材料の例は、炭化ケイ素を含む。窒化物材料の例は、窒化ケイ素を含む。セラミック材料又はサファイアは、フッ素含有環境又はフッ化炭素含有環境を含む、一部の化学環境に対して望ましいだろう。アンモニア、ジクロロシラン、窒素、及び酸素を含有する化学環境では、シリコン、炭化ケイ素、又は石英の使用が望ましいだろう。
ある実施態様では、円筒形状シェル420が、0.1mmから3mm、例えば1mmの厚さを有する。シェル420は、2〜4mm、例えば2mmの内径を有してよい。
ある実施態様では、流体がチャネル430内に供給される。ある実施態様では、流体が、導体410の酸化を軽減するように酸素をパージするための非酸化性ガスである。非酸化性ガスの例は、窒素とアルゴンである。ある実施態様では、残余酸素又は水蒸気を除去するために、例えば流体源146によって、非酸化性ガスがチャネル430を通して連続的に流される。
導体410の加熱は、導体をより酸素による影響を受け易くし得る。流体は、供給されるRF電力から加熱され得る導体410に冷却を提供することができる。ある実施態様では、強制される対流による温度制御(例えば、冷却や加熱)を提供するために、例えば流体源146によって、流体がチャネル430を通して循環される。
ある実施態様では、流体の機能停止を防止するために、流体が大気圧又はそれより上にあってよい。これにより、管内の望ましくないプラズマ生成を防止することができる。チャネル430内の圧力は、少なくとも100Torrであってよい。
図4Bを参照すると、フィラメント400のある実施態様では、導体410がコーティング420を有する。ある実施態様では、コーティング420が、導体を形成する材料の酸化物(例えば、アルミニウム導体上の酸化アルミニウム)である。ある実施態様では、コーティング420が酸化ケイ素である。ある実施態様では、コーティング420が、例えば、二酸化ケイ素コーティングを形成するためのシラン、水素、及び酸素の反応によって、プラズマ反応器100内でインシトゥで形成される。インシトゥのコーティングは、有益であるだろう。というのも、そのコーティングは、エッチング又はスパッタリングされるときに補充することができるからである。コーティングは、0.1〜10ミクロンの厚さであってよい。
図4Cを参照すると、フィラメント400のある実施態様では、導体410が中空であり、中空のチャネル440が、導体410の内側に形成される。ある実施態様では、中空のチャネル440が、図4Aで説明された流体を搬送することができる。導体は、約1〜4mm(例えば、2mm)の外径、0.25〜1mm(例えば、0.5mm)の壁厚を有する中空の管であってよい。プロセス対応可能な材料のコーティングは、円筒形状シェル420を提供するために導体410を覆っていてよい。ある実施態様では、コーティング420が、導体を形成する材料の酸化物(例えば、アルミニウム導体上の酸化アルミニウム)である。ある実施態様では、中空の導体410が、0.5mmの壁厚を伴う、2mmの外径を有する。
図1及び図2を参照すると、フィラメント400は、枠によって支持され、枠から延在する。枠は、酸化物材料、窒化物材料、炭化物材料、セラミック材料、又はそれらの組み合わせなどの、プロセス対応可能な材料から形成される。酸化物材料の実施例は、二酸化シリコン(例えば、シリカ、石英)、及び酸化アルミニウム(例えば、サファイア)を含む。炭化物材料の例は、炭化ケイ素を含む。ある実施態様では、枠、及びフィラメント400のシェルは、同じ材料(例えば、石英)から形成される。
フィラメント400のシェルは、枠に融着されてよい。これにより、プロセスガスが導体に到達することを防止するための流体密封シールを生成することができ、したがって、反応器の寿命を改善することができ、汚染の可能性を低減させることができる。
ある実施態様では、例えば、図1で示されているように、フィラメント400が、枠から水平に延在する。ある実施態様では、例えば、図2で示されているように、枠が天井の一部分を提供し、フィラメント400が、枠から下向きに延在する。
ある実施態様では、例えば、図2及び図3で示されているように、枠が、支持体106によって設けられ得る。他の実施態様では、枠が、個別の本体、例えば、天井又は側壁102に取り付けられた本体である。ある実施態様では、枠が、チャンバの側壁によって設けられる。チャンバ壁は、導電性であってよいが、絶縁シェルが、導体をチャンバ壁から絶縁することができる。
図1で示されているように、フィラメント400が枠から水平に突出する場合、枠は、上側間隙130を囲むように下向きに延在する本体105であってよい。代替的に、例えば、図3で示されているように、フィラメントが天井から下向きに延在する場合、支持体106は、上側間隙130を囲む下向きに突出する壁107含んでよい。本体105又は壁107は、流体密封シールを提供するために、支持体106と一体的に形成され又は支持体106に融着されてよい。
図5Aは、チャンバ内電極アセンブリの一部分の概略図である。チャンバ内電極アセンブリ500は、支持体502に取り付けられた複数のフィラメント400を含む。電極アセンブリ500は、電極アセンブリ120を提供し、フィラメント400は、電極アセンブリ120のフィラメントを提供することができる。ある実施態様では、フィラメントが、互いに平行に延在する。
フィラメント400は、フィラメント間隔510だけ互いから分離している。フィラメント間隔510は、表面と表面との距離であってよい。平行なフィラメントでは、間隔がフィラメントの長手軸と垂直に測定されてよい。間隔510は、プラズマの均一性に影響を与える場合がある。間隔が大き過ぎる場合、フィラメントは、シャドーイング及び不均一性を生成する可能性がある。他方、間隔が小さ過ぎる場合、プラズマが上側間隙130と底側間隙132との間で移動することができず、不均一性が増大し、又はイオン密度若しくは自由ラジカル密度が低減されることになる。ある実施態様では、フィラメント間隔510が、アセンブリ500の全体にわたり均一である。
フィラメント間隔510は、3から20mm(例えば、8mm)であってよい。高い圧力(例えば、N2が2〜10Torr)では、フィラメント間隔が20mmから3mmであってよい。圧力範囲にわたる妥協点は、5〜10mmであってよい。より低い圧力及びより長い加工対象物までの距離は、より長い間隔が効果的に使用され得ることを可能にする。
図5B〜図5Cは、種々のプラズマ領域状態を有するチャンバ内電極アセンブリの断面概略図である。図5Bを参照すると、プラズマ領域512が、フィラメント400を囲んでいる。プラズマ領域512は、上側プラズマ領域514及び下側プラズマ領域516を有する。上側プラズマ領域514は、上側間隙130に位置付けられ、下側プラズマ領域516は、底側間隙132に位置付けられる。図5Bで示されているように、上側プラズマ領域514及び下側プラズマ領域516は、フィラメント400間の間隙を通じて接続され、連続プラズマ領域512を生成する。プラズマ領域512のこの連続性は望ましい。というのも、領域514と領域516は、プラズマの交換を介して互いに「通じている」からである。特に(全てのフィラメントが同じ電源に接続されている)単極駆動であり、且つ上端電極が主接地経路として接地されている場合、プラズマの交換は、2つの領域の電気的なバランスを保つ助けとなり、プラズマの安定性と再現性を支援する。
フィラメントが一部の他の接地に対して駆動され、(加工対象物が接地されているなどして)上端接地がない単極駆動の場合、フィラメントの上方にプラズマが生成される必要がない。また、(例えば、電源出力の両側にフィラメントを交互に接続する)差動駆動(differential drive)の場合、フィラメント間にプラズマを生成することができるので、フィラメントの上方のプラズマは必要ない。しかし、これらの場合、接地された上端電極は、有害であるはずがないだろう。
図5Cを参照すると、この状態では、上側プラズマ領域514と下側プラズマ領域516は、互いに接続されていない。プラズマ領域512のこの「ピンチング(pinching)」は、プラズマ安定性にとって望ましくない。プラズマ領域512の形状は、プラズマ領域の不連続性を除去し又はプラズマ均一性を改善するために、様々な要因によって変更することができる。
一般に、領域512、514、及び516は、広い範囲のプラズマ密度を有してよく、必ずしも均一ではない。更に、図5Cで示されている上側プラズマ領域514と下側プラズマ領域516の間の不連続は、2つの領域に対して大幅に低いプラズマ密度を表しており、必ずしも間隙内にプラズマを完全に欠いているわけではない。
上側間隙130は、プラズマ領域の形状に影響を与える要因である。上端電極108が接地されているときに、圧力に応じて、上側間隙130を低減させると、通常、上側プラズマ領域514内のプラズマ密度の低減がもたらされる。上側間隙130に対する特定の値は、プラズマチャンバのコンピュータモデリングに基づいて決定されてよい。例えば、上側間隙130は、3mmから8mm、例えば4.5mmであってよい。
底側間隙132は、プラズマ領域の形状に影響を与える要因である。加工対象物支持電極116が接地されているときに、圧力に応じて、底側間隙132を低減させると、通常、下側プラズマ領域516内のプラズマ密度の低減がもたらされる。底側間隙132に対する特定の値は、プラズマチャンバのコンピュータモデリングに基づいて決定されてよい。例えば、底側間隙132は、3mmから9mm、例えば4.5mmであってよい。
隣接するフィラメント400を駆動するRF信号の位相は、プラズマ領域の形状に影響を与える要因である。隣接するフィラメントを駆動する2つのRF信号の位相差が、0度(「単極」又は「シングルエンド(singled-ended)」)に設定されているときに、プラズマ領域は、フィラメント400の間の間隙から押し出されて、不連続又は不均一をもたらす。隣接するフィラメントを駆動するRF信号の位相差が、180度(「差動」)に設定されているときに、プラズマ領域は、フィラメント400の間により強く留められる。0と360度の間の任意の位相差が使用されて、プラズマ領域512の形状に影響を与えることができる。
加工対象物支持電極116の接地は、プラズマ領域の形状に影響を与える要因である。隣接するフィラメントを駆動するRF信号の間の位相差が0度であり、電極116のRF接地が不完全である場合、プラズマ領域は、上側間隙に向けて押される。しかし、隣接するフィラメント、例えばフィラメント402と404が、180度の位相差を有するRF信号を用いて駆動される場合、結果として生じるプラズマ分布は、電極116の不完全なRF接地に対してより鈍感である。任意の特定の理論に束縛されることなしに、これは、駆動信号の差動特性(differential nature)により、RF電流が隣接する電極を通って戻るためである。
ある実施態様では、チャンバ内電極アセンブリ500が、フィラメント400の第1の群及び第2の群を含んでよい。第1の群及び第2の群は、第1の群と第2の群との間でフィラメントが交互になるように、空間的に配置され得る。例えば、第1の群はフィラメント402を含んでよく、第2の群はフィラメント400及び404を含んでよい。第1の群は、RF電源522の第1の端子522aによって駆動されてよく、第2の群は、RF電源522の第2の端子522bによって駆動されてよい。RF電源522は、端子522aにおいて第1のRF信号を提供し、端子522bにおいて第2のRF信号を提供するように構成されてよい。第1及び第2のRF信号は、互いに同じ周波数及び安定した位相関係を有してよい。例えば、位相関係は、0度及び180度を含んでよい。ある実施態様では、RF電源522によって提供される第1及び第2のRF信号の間の位相関係が、0と360の間で調整可能であってよい。ある実施態様では、RF電源522が、互いに位相ロックされた2つの個別のRF電源を含んでよい。
図6A〜図6Cは、チャンバ内電極アセンブリ構成の様々な実施例の概略図である。図6Aを参照すると、チャンバ内電極アセンブリ600は、第1の互いに組み合わせる電極サブアセンブリ620、及び第2の互いに組み合わせる電極サブアセンブリ630を含む。サブアセンブリ620及び630は、それぞれ、一端においてバス650によって接続された複数の平行なフィラメント400を有する。ある実施態様では、フィラメント400を接続するバス650が、内部空間104の外側に位置付けられている。ある実施態様では、フィラメント400を接続するバス650が、内部空間104内に位置付けられている。第1の互いに組み合わせる電極サブアセンブリ620と第2の互いに組み合わせる電極サブアセンブリ630は、サブアセンブリ620及び630のフィラメントが互いに平行になるように、互いと平行に配向される。
図6Bを参照すると、チャンバ内電極アセンブリ602は、サブアセンブリ622及び632が、ゼロではない角度で、例えば互いに直交して延在するような、第1の電極サブアセンブリ622と第2の電極サブアセンブリ632を含む。
チャンバ内電極アセンブリ602は、様々なやり方でRF信号を用いて駆動されてよい。ある実施態様では、サブアセンブリ622とサブアセンブリ632が、RF接地に対して同じRF信号を用いて駆動される。ある実施態様では、サブアセンブリ622とサブアセンブリ632が、差動RF信号を用いて駆動される。ある実施態様では、サブアセンブリ622が、RF信号を用いて駆動され、サブアセンブリ632が、RF接地に接続されている。
図6Cを参照すると、チャンバ内電極アセンブリ604は、重ね合わされた第1の電極サブアセンブリ624及び第2の電極サブアセンブリ634を含む。第1の電極サブアセンブリ624と第2の電極サブアセンブリ634は、それぞれ、両端でバス660及び662によって接続された複数の平行なフィラメント400を有する。第1の電極サブアセンブリ624と第2の電極サブアセンブリ634は、サブアセンブリ624、634のフィラメントが交互パターンで配置された状態で、サブアセンブリ624及び634のフィラメントが互いに平行になるように構成されている。
チャンバ内電極アセンブリ604は、様々なやり方でRF信号を用いて駆動されてよい。ある実施態様では、サブアセンブリ624とサブアセンブリ634が、RF接地に対して同じRF信号を用いて駆動される。ある実施態様では、サブアセンブリ624とサブアセンブリ634が、差動RF信号を用いて駆動される。ある実施態様では、サブアセンブリ624が、RF信号を用いて駆動され、サブアセンブリ634が、RF接地に接続されている。
ある実施態様では、チャンバ内電極アセンブリ604は、中央給電(center-feed)640を使用して、RF信号を用いて、シングルエンド方式で駆動される。中央給電640は、中央においてX形状電流スプリッター642に接続されている。サブアセンブリ624及び634の4つの角部は、垂直フィード構造を使用して、X形状電流スプリッター642に接続されている。
一般的に、サブアセンブリ620、622、624及びそれぞれのサブアセンブリ630、632、634の差動駆動は、適切なRF接地(例えば、回転水銀カプラ、ブラシ、又はスリップリングを介したRF接地)が提供できないときに、プラズマ均一性又はプロセス再現性を改善することができる。
本発明の特定の実施形態を説明してきた。しかし、他の実施形態も可能である。例えば、
加工対象物は、プラズマチャンバ内で静止した状態で保持することができる。
加工対象物がプラズマチャンバ内で移動するように、プラットフォームは、直線的に移動又は回転することができる。
他の実施形態が、下記の特許請求の範囲内にある。

Claims (25)

  1. プラズマチャンバを提供する内部空間を有し天井を有するチャンバ本体、
    前記プラズマチャンバに処理ガスを供給するガス供給器、
    前記チャンバを排気するための前記プラズマチャンバに連結されたポンプ、
    前記天井に対面する加工対象物を保持するための加工対象物支持体、
    絶縁枠及び前記天井と前記加工対象物支持体との間で前記プラズマチャンバを通って側方に延在するフィラメントを備えたチャンバ内電極アセンブリであって、前記フィラメントが、前記絶縁枠から延在する絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びに
    前記チャンバ内電極アセンブリの前記導体に第1のRF電力を供給するための第1のRF電源を備える、プラズマ反応器。
  2. 前記絶縁シェルが、前記プラズマチャンバ内で前記導体の全体を囲み前記導体の全体に沿って延在する円筒形状シェルを備える、請求項1に記載のプラズマ反応器。
  3. 前記絶縁シェルが、シリコン、若しくは、酸化物、窒化物、若しくは炭化物材料、又はそれらの組み合わせから形成されている、請求項1に記載のプラズマ反応器。
  4. 前記絶縁シェルが、シリカ、サファイア、又は炭化ケイ素から形成されている、請求項3に記載のプラズマ反応器。
  5. 前記円筒形状シェルがチャネルを形成し、前記導体が前記チャネル内で宙吊りにされ前記チャネルを通って延在し、又は前記導体が中空チャネルを備える、請求項1に記載のプラズマ反応器。
  6. 前記チャネルを通して流体を循環させるように構成された流体源を更に備える、請求項5に記載のプラズマ反応器。
  7. 前記流体が、非酸化性ガスを含む、請求項6に記載のプラズマ反応器。
  8. 前記流体から熱を除去し又は前記流体に熱を供給するように構成された熱交換器を備える、請求項6に記載のプラズマ反応器。
  9. 前記チャンバ内電極アセンブリが、前記天井と前記加工対象物支持体との間で前記プラズマチャンバを通って側方に延在する複数の同一平面にあるフィラメントを備える、請求項1に記載のプラズマ反応器。
  10. 前記複数の同一平面にあるフィラメントが、均一に間隔を空けられている、請求項9に記載のプラズマ反応器。
  11. 前記複数の同一平面にあるフィラメントが、直線的なフィラメントを備える、請求項9に記載のプラズマ反応器。
  12. 前記複数の同一平面にあるフィラメントが、前記プラズマチャンバを通って平行に延在する、請求項11に記載のプラズマ反応器。
  13. 前記シェルが、前記絶縁枠に融着されている、請求項1に記載のプラズマ反応器。
  14. 前記シェルと前記絶縁枠が、同じ材料組成である、請求項1に記載のプラズマ反応器。
  15. 前記絶縁枠が、シリカ又はセラミック材料から形成されている、請求項1のプラズマ反応器。
  16. プラズマチャンバを提供し天井を有する内部空間を有するチャンバ本体、
    前記プラズマチャンバに処理ガスを供給するガス供給器、
    前記チャンバを排気するための前記プラズマチャンバに連結されたポンプ、
    加工対象物を保持するための加工対象物支持体、
    絶縁枠及びフィラメントを備えたチャンバ内電極アセンブリであって、前記フィラメントが、前記天井から下向きに延在する第1の部分及び前記天井と前記加工対象物支持体との間で前記プラズマチャンバを通って側方に延在する第2の部分を含み、前記フィラメントが、絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びに
    前記チャンバ内電極アセンブリの前記導体に第1のRF電力を供給するための第1のRF電源を備える、プラズマ反応器。
  17. 前記チャンバ内電極アセンブリが複数のフィラメントを備え、各フィラメントが、前記天井から下向きに延在する第1の部分、及び、前記天井と前記加工対象物支持体と間で前記プラズマチャンバを通って側方に延在する第2の部分を備える、請求項16に記載のプラズマ反応器。
  18. 前記複数のフィラメントの前記第2の部分が同一平面にある、請求項16に記載のプラズマ反応器。
  19. 前記支持体が、前記天井と前記フィラメントの前記第2の部分との間の空間を囲む下向きに突出した側壁を備える、請求項16に記載のプラズマ反応器。
  20. 前記側壁が、酸化ケイ素又はセラミック材料から形成されている、請求項19に記載のプラズマ反応器。
  21. 前記天井が絶縁枠を備え、前記フィラメントが前記絶縁枠から外に延在する、請求項19に記載のプラズマ反応器。
  22. 前記シェルが、前記枠に融着されている、請求項21に記載のプラズマ反応器。
  23. 前記シェルと前記支持体が、同じ材料組成である、請求項21に記載のプラズマ反応器。
  24. プラズマチャンバを提供する内部空間を有し天井及び上端電極を保持するための絶縁支持体を有するチャンバ本体、
    前記プラズマチャンバに処理ガスを供給するガス供給器、
    前記チャンバを排気するための前記プラズマチャンバに連結されたポンプ、
    前記上端電極に対面する加工対象物を保持するための加工対象物支持体、
    前記上端電極と前記加工対象物支持体との間で前記プラズマチャンバを通って側方に延在するフィラメントを備えたチャンバ内電極アセンブリであって、前記フィラメントが、前記絶縁枠から延在する絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びに
    前記チャンバ内電極アセンブリの前記導体に第1のRF電力を供給するための第1のRF電源を備える、プラズマ反応器。
  25. プラズマチャンバを提供する内部空間を有し天井を有するチャンバ本体、
    前記プラズマチャンバに処理ガスを供給するガス供給器、
    前記チャンバを排気するための前記プラズマチャンバに連結されたポンプ、
    加工対象物を保持するための加工対象物支持体、
    チャンバ内電極アセンブリであって、絶縁枠、第1の方向に沿って前記天井と前記加工対象物支持体との間で前記プラズマチャンバを通って側方に延在する第1の複数の同一平面にあるフィラメント、及び前記第1の方向と垂直な第2の方向に沿ってプラズマチャンバを通って平行に延在する第2の複数の同一平面にあるフィラメントを備え、前記第1及び第2の複数のフィラメントのそれぞれのフィラメントが、絶縁シェルによって少なくとも部分的に囲まれた導体を含む、チャンバ内電極アセンブリ、並びに
    前記チャンバ内電極アセンブリの前記導体に第1のRF電力を供給する第1のRF電源を備える、プラズマ反応器。
JP2019557440A 2017-04-24 2018-04-23 電極フィラメントを有するプラズマ反応器 Active JP7051897B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762489344P 2017-04-24 2017-04-24
US62/489,344 2017-04-24
US15/630,833 US11424104B2 (en) 2017-04-24 2017-06-22 Plasma reactor with electrode filaments extending from ceiling
US15/630,748 2017-06-22
US15/630,748 US20180308661A1 (en) 2017-04-24 2017-06-22 Plasma reactor with electrode filaments
US15/630,833 2017-06-22
PCT/US2018/028930 WO2018200404A1 (en) 2017-04-24 2018-04-23 Plasma reactor with electrode filaments

Publications (3)

Publication Number Publication Date
JP2020521269A true JP2020521269A (ja) 2020-07-16
JPWO2018200404A5 JPWO2018200404A5 (ja) 2022-03-09
JP7051897B2 JP7051897B2 (ja) 2022-04-11

Family

ID=63852422

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019557440A Active JP7051897B2 (ja) 2017-04-24 2018-04-23 電極フィラメントを有するプラズマ反応器

Country Status (6)

Country Link
US (2) US11424104B2 (ja)
JP (1) JP7051897B2 (ja)
KR (1) KR102505096B1 (ja)
CN (1) CN110537242A (ja)
TW (1) TWI776874B (ja)
WO (1) WO2018200404A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11424104B2 (en) * 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US10510515B2 (en) 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly
US10669430B2 (en) * 2018-07-17 2020-06-02 Varian Semiconductor Equipment Associates, Inc. Anti-reflective coating for transparent end effectors
AU2019400946A1 (en) * 2018-12-21 2021-08-12 Ozone 1 Pty Ltd Improvements in plasma reactors
CN113496862A (zh) * 2020-04-02 2021-10-12 中微半导体设备(上海)股份有限公司 等离子体反应器及其射频功率分布调节方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6161499A (en) * 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
JP2006185715A (ja) * 2004-12-27 2006-07-13 Ngk Insulators Ltd プラズマ発生電極及びプラズマ反応器
CN102548177A (zh) * 2012-01-13 2012-07-04 北京交通大学 等离子体空气净化装置的放电电极结构
JP2013097958A (ja) * 2011-10-31 2013-05-20 Nissin Ion Equipment Co Ltd イオン源
US20160005631A1 (en) * 2013-03-14 2016-01-07 Applied Materials, Inc. Apparatus for coupling a hot wire source to a process chamber

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4765179A (en) 1985-09-09 1988-08-23 Solid State Farms, Inc. Radio frequency spectroscopy apparatus and method using multiple frequency waveforms
US4825467A (en) 1986-11-25 1989-04-25 International Telesystems, Inc. Restricted access television transmission system
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3132599B2 (ja) 1992-08-05 2001-02-05 株式会社日立製作所 マイクロ波プラズマ処理装置
TW293983B (ja) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6082294A (en) * 1996-06-07 2000-07-04 Saint-Gobain Industrial Ceramics, Inc. Method and apparatus for depositing diamond film
WO1999031013A1 (en) * 1997-12-15 1999-06-24 Advanced Silicon Materials, Inc. Chemical vapor deposition system for polycrystalline silicon rod production
JP3544136B2 (ja) 1998-02-26 2004-07-21 キヤノン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3332857B2 (ja) 1998-04-15 2002-10-07 三菱重工業株式会社 高周波プラズマ発生装置及び給電方法
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP3377773B2 (ja) 2000-03-24 2003-02-17 三菱重工業株式会社 放電電極への給電方法、高周波プラズマ発生方法および半導体製造方法
JP3316490B2 (ja) 2000-03-13 2002-08-19 三菱重工業株式会社 放電電極への給電方法、高周波プラズマ生成方法および半導体製造方法
JP2001274101A (ja) 2000-03-27 2001-10-05 Mitsubishi Heavy Ind Ltd 棒状電極を有するプラズマ化学蒸着装置
EP1146569B1 (en) 2000-04-13 2008-05-21 National Institute of Advanced Industrial Science and Technology Thin film forming method, thin film forming apparatus and solar cell
ES2336303T3 (es) * 2000-05-17 2010-04-12 Ihi Corporation Aparato y procedimiento de cvd por plasma.
JP2002305151A (ja) * 2001-04-05 2002-10-18 Mitsubishi Heavy Ind Ltd 表面処理装置及び表面処理方法
JP3872363B2 (ja) 2002-03-12 2007-01-24 京セラ株式会社 Cat−PECVD法
US6870124B2 (en) 2002-05-08 2005-03-22 Dana Corporation Plasma-assisted joining
JP3840147B2 (ja) * 2002-06-21 2006-11-01 キヤノン株式会社 成膜装置、成膜方法およびそれを用いた電子放出素子、電子源、画像形成装置の製造方法
JP2004055600A (ja) 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
KR100465907B1 (ko) 2002-09-26 2005-01-13 학교법인 성균관대학 자장이 인가된 내장형 선형 안테나를 구비하는 대면적처리용 유도 결합 플라즈마 소오스
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
JP2004128159A (ja) * 2002-10-01 2004-04-22 Mitsubishi Heavy Ind Ltd 高周波プラズマ発生装置および高周波プラズマ発生方法
WO2004040629A1 (ja) 2002-10-29 2004-05-13 Mitsubishi Heavy Industries, Ltd. プラズマ化学蒸着装置における高周波プラズマの大面積均一化方法及び装置
JP3902113B2 (ja) 2002-10-31 2007-04-04 三菱重工業株式会社 プラズマ化学蒸着方法
US20050067934A1 (en) 2003-09-26 2005-03-31 Ishikawajima-Harima Heavy Industries Co., Ltd. Discharge apparatus, plasma processing method and solar cell
EP1574597B1 (en) * 2004-03-12 2012-01-11 Universiteit Utrecht Holding B.V. Process for producing thin films and devices
US8293069B2 (en) * 2004-03-15 2012-10-23 Sungkyunkwan University Inductively coupled plasma apparatus
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060185595A1 (en) * 2005-02-23 2006-08-24 Coll Bernard F Apparatus and process for carbon nanotube growth
US7842159B2 (en) * 2005-07-14 2010-11-30 Sungkyunkwan University Foundation For Corporate Collaboration Inductively coupled plasma processing apparatus for very large area using dual frequency
JP2007067157A (ja) 2005-08-31 2007-03-15 Tokyo Ohka Kogyo Co Ltd 気相反応処理装置
US7455735B2 (en) 2005-09-28 2008-11-25 Nordson Corporation Width adjustable substrate support for plasma processing
US9194036B2 (en) * 2007-09-06 2015-11-24 Infineon Technologies Ag Plasma vapor deposition
JP2008047938A (ja) 2007-10-17 2008-02-28 Masayoshi Murata 高周波プラズマcvd装置と高周波プラズマcvd法及び半導体薄膜製造法。
FR2922696B1 (fr) 2007-10-22 2010-03-12 St Microelectronics Sa Resonateur a ondes de lamb
US20110008550A1 (en) 2008-01-25 2011-01-13 Mitsui Engineering & Shipbuilding Co., Ltd Atomic layer growing apparatus and thin film forming method
WO2009104379A1 (ja) * 2008-02-18 2009-08-27 三井造船株式会社 原子層成長装置および原子層成長方法
JP5136134B2 (ja) 2008-03-18 2013-02-06 ソニー株式会社 バンドパスフィルタ装置、その製造方法、テレビジョンチューナおよびテレビジョン受信機
MY157446A (en) * 2008-06-23 2016-06-15 Gt Solar Inc Chuck and bridge connection points for tube filaments in a chemical vapor deposition reactor
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
KR101073834B1 (ko) * 2009-09-10 2011-10-14 주성엔지니어링(주) 플라즈마 처리장치 및 처리방법
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8492736B2 (en) * 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
US8914166B2 (en) 2010-08-03 2014-12-16 Honeywell International Inc. Enhanced flight vision system for enhancing approach runway signatures
WO2012117888A1 (ja) * 2011-03-03 2012-09-07 三洋電機株式会社 触媒化学気相成膜装置、それを用いた成膜方法及び触媒体の表面処理方法
JP5505731B2 (ja) * 2011-03-10 2014-05-28 日新イオン機器株式会社 イオン源
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US20130105083A1 (en) 2011-11-01 2013-05-02 Lam Research Corporation Systems Comprising Silicon Coated Gas Supply Conduits And Methods For Applying Coatings
US9396900B2 (en) 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
DE102012103425A1 (de) 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US20130292057A1 (en) 2012-04-26 2013-11-07 Applied Materials, Inc. Capacitively coupled plasma source with rf coupled grounded electrode
JP2014049541A (ja) 2012-08-30 2014-03-17 Mitsubishi Heavy Ind Ltd 薄膜製造装置及びその電極電圧調整方法
US9419583B2 (en) 2013-04-22 2016-08-16 Northeastern University Nano- and micro-electromechanical resonators
US9355821B2 (en) 2013-06-19 2016-05-31 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan Large-area plasma generating apparatus
EP2849204B1 (de) * 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasmaerzeugungsvorrichtung
DE102013112855A1 (de) * 2013-11-21 2015-05-21 Aixtron Se Vorrichtung und Verfahren zum Fertigen von aus Kohlenstoff bestehenden Nanostrukturen
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9554738B1 (en) 2016-03-30 2017-01-31 Zyomed Corp. Spectroscopic tomography systems and methods for noninvasive detection and measurement of analytes using collision computing
US20180308663A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with phase shift applied across electrode array
US11424104B2 (en) * 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US20180308667A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with groups of electrodes
US20180308664A1 (en) * 2017-04-24 2018-10-25 Kenneth S. Collins Plasma reactor with filaments and rf power applied at multiple frequencies
US11114284B2 (en) * 2017-06-22 2021-09-07 Applied Materials, Inc. Plasma reactor with electrode array in ceiling
US10510515B2 (en) * 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6161499A (en) * 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
JP2006185715A (ja) * 2004-12-27 2006-07-13 Ngk Insulators Ltd プラズマ発生電極及びプラズマ反応器
JP2013097958A (ja) * 2011-10-31 2013-05-20 Nissin Ion Equipment Co Ltd イオン源
CN102548177A (zh) * 2012-01-13 2012-07-04 北京交通大学 等离子体空气净化装置的放电电极结构
US20160005631A1 (en) * 2013-03-14 2016-01-07 Applied Materials, Inc. Apparatus for coupling a hot wire source to a process chamber

Also Published As

Publication number Publication date
KR20190134811A (ko) 2019-12-04
US20180308666A1 (en) 2018-10-25
TWI776874B (zh) 2022-09-11
CN110537242A (zh) 2019-12-03
US20180308661A1 (en) 2018-10-25
TW201903819A (zh) 2019-01-16
KR102505096B1 (ko) 2023-03-06
WO2018200404A1 (en) 2018-11-01
US11424104B2 (en) 2022-08-23
JP7051897B2 (ja) 2022-04-11

Similar Documents

Publication Publication Date Title
JP7051897B2 (ja) 電極フィラメントを有するプラズマ反応器
US10804080B2 (en) Plasma processing apparatus and plasma processing method
US11114284B2 (en) Plasma reactor with electrode array in ceiling
US9773648B2 (en) Dual discharge modes operation for remote plasma
US6664737B1 (en) Dielectric barrier discharge apparatus and process for treating a substrate
JP4141234B2 (ja) プラズマ処理装置
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
JP2012506620A (ja) チャンバをクリーニングするプラズマ発生源とプロセス
EP3794628B1 (en) Plasma processing tool
KR20200089342A (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
US11355321B2 (en) Plasma reactor with electrode assembly for moving substrate
KR102501096B1 (ko) 플라즈마 반응기의 전극들에의 전력 인가
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
KR101484652B1 (ko) 플라즈마 처리 장치
TW201944514A (zh) 處理系統及處理方法
US20180308667A1 (en) Plasma reactor with groups of electrodes
JP6298293B2 (ja) 基板処理装置、シャッタ機構およびプラズマ処理装置
JP3761474B2 (ja) プラズマ処理装置
KR101241951B1 (ko) 플라즈마 발생장치 및 기판의 플라즈마 처리방법
JP7329131B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP2019160714A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220301

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220308

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220330

R150 Certificate of patent or registration of utility model

Ref document number: 7051897

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150