KR20140101264A - 상향식 peald 공정 - Google Patents

상향식 peald 공정 Download PDF

Info

Publication number
KR20140101264A
KR20140101264A KR20130067795A KR20130067795A KR20140101264A KR 20140101264 A KR20140101264 A KR 20140101264A KR 20130067795 A KR20130067795 A KR 20130067795A KR 20130067795 A KR20130067795 A KR 20130067795A KR 20140101264 A KR20140101264 A KR 20140101264A
Authority
KR
South Korea
Prior art keywords
processing chamber
gas
plasma
precursor
semiconductor workpiece
Prior art date
Application number
KR20130067795A
Other languages
English (en)
Other versions
KR101511424B1 (ko
Inventor
린 정 우
수 홍 린
치 밍 양
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140101264A publication Critical patent/KR20140101264A/ko
Application granted granted Critical
Publication of KR101511424B1 publication Critical patent/KR101511424B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 개시는 개선된 스텝 커버리지를 제공하는 플라즈마 향상된 원자층 증착(PEALD) 공정을 수행하는 방법 및 장치에 관한 것이다. 일부 실시예들에서, 본 개시는 플라즈마 향상된 원자층 증착(PEALD) 공정에 관한 것이다. PEALD 공정은 반도체 가공물을 포함하는 프로세싱 챔버 안으로 전구체 가스를 도입한다. 이러한 제 1 가스는 이온화되어 복수의 이온화된 전구체 분자를 형성한다. 그 뒤에, 바이어스 전압이 가공물에 인가된다. 바이어스 전압은 가공물에 이온화된 전구체 분자를 끌어들여, 가공물의 이방성 커버리지에 전구체 가스를 제공하도록 한다. 반응 가스가 프로세싱 챔버 안으로 도입된다. 그 뒤에, 플라즈마가 반응 가스로부터 점화되어, 반응 가스가 기판 상에 증착되었던 이온화된 전구체 분자와 반응하도록 하여 가공물 상에 증착된 층을 형성한다.

Description

상향식 PEALD 공정{BOTTOM-UP PEALD PROCESS}
본 개시는 기판의 스텝 커버리지를 개선하는 플라즈마 향상된 원자층 증착(plasma enhanced atomic layer deposition; PEALD) 공정을 수행하는 방법 및 장치에 관한 것이다.
복수의 상이한 처리 단계들을 반도체 가공물(semiconductor workpiece) 상에서 동작시킴으로써 집적 칩이 형성된다. 일반적으로, 처리 단계들은 가공물(예컨대, 반도체 기판)의 하나 이상의 영역을 선택적으로 가리기 위한 리소그래픽 패턴화, 가공물의 전기적 특성을 수정하기 위한 주입, 가공물의 일부를 제거하기 위한 에칭, 및 가공물 상에 하나 이상의 층들을 형성하기 위한 증착을 포함할 수 있다.
증착 공정은 FEOL(front-end-of-the-line) 처리 및 BEOL(back-end-of-the-line) 처리 양자 모두에서 표면 위상을 변화시키는데 널이 이용된다. 예를 들어, FEOL 처리에서, 증착 공정은 실질적으로 평평한 기판 상에 폴리실리콘 물질을 형성하는데 이용되는 반면, BEOL 처리에서, 증착은 유전층에서 캐비티 내에 금속층을 형성하는데 이용될 수 있다. 증착 공정은 물리적 기상 증착(physical vapor deposition; PVD) 툴, 화학적 기상 증착(chemical vapor deposition; CVD) 툴, 원자층 증착(atomic layer deposition; ALD) 툴 등을 포함하는, 광범위한 증착 툴들에 의해 수행될 수 있다.
본 발명의 목적은, 기판의 스텝 커버리지를 개선하는 플라즈마 향상된 원자층 증착(PEALD) 공정을 수행하는 방법 및 장치를 제공하는 것이다.
일부 실시예들에서, 본 개시는 플라즈마 향상된 원자층 증착(PEALD) 시스템에 관한 것이다. PEALD 시스템은 반도체 가공물을 수용하도록 구성된 프로세싱 챔버 안으로 전구체 가스를 제공하도록 구성된 전구체 가스 주입구를 포함하고, 반응 가스 주입구는 프로세싱 챔버 안으로 반응 가스를 제공하도록 구성된다. PEALD 시스템은 전구체 가스를 이온화하여 복수의 이온화된 전구체 분자를 형성하고, 그 뒤에 반응 가스로부터 플라즈마를 점화하도록 구성된 이온화 컴포넌트를 더 포함하고, 플라즈마는 이온화된 전구체 분자와 반응 가스 간의 반응을 일으켜 증착된 층을 형성한다. PEALD 시스템은 반도체 가공물에 이온화된 전구체 분자를 이방성으로 끌어들이는 바이어스 전압을 반도체 가공물에 인가하도록 구성된 바이어스 요소를 더 포함한다.
다른 실시예들에서, 본 개시는 플라즈마 향상된 원자층 증착(PEALD) 시스템에 관한 것이다. PEALD 시스템은 제 1 도관을 통해 반도체 가공물을 수용하도록 구성된 프로세싱 챔버에 결합된 전구체 가스 소스 및 제 2 도관을 통해 프로세싱 챔버에 결합된 반응 가스 소스를 포함한다. PEALD 시스템은 프로세싱 챔버 내에서 복수의 이온화된 전구체 분자를 형성하도록 전구체 가스를 이온화하도록 구성된 이온화 요소 및 바이어스 전압을 반도체 가공물에 인가하도록 구성된 바이어스 요소를 더 포함하고, 본 명세서에서 바이어스 전압은 반도체 가공물에 이온화된 전구체 분자를 끌어들인다. PEALD 시스템은 반도체 가공물 상의 이온화된 전구체 분자와 반응 가스 간의 반응을 일으키는 플라즈마를 프로세싱 챔버 내에서 점화하도록 구성된 플라즈마 발생기를 더 포함한다. PEALD 시스템은 제 1 동작 기간 동안 바이어스 요소 및 이온화 요소를 동작시키고 제 1 동작 기간 후의 제 2 동작 기간 동안 플라즈마 발생기를 동작시키도록 구성된 제어 유닛을 더 포함한다.
다른 실시예들에서, 본 개시는 플라즈마 향상된 원자층 증착(PEALD) 공정을 수행하는 방법에 관한 것이다. 방법은 반도체 가공물을 수용하도록 구성된 프로세싱 챔버 안으로 전구체 가스를 도입하는 단계를 포함한다. 방법은 전구체 가스의 분자를 이온화하는 단계를 더 포함한다. 방법은 반도체 가공물에 이온화된 전구체 분자를 끌어들이기 위해 반도체 가공물을 바이어스하는 단계를 더 포함한다. 방법은 프로세싱 챔버 안으로 반응 가스를 도입하는 단계를 더 포함하고, 반응 가스는 증착된 층을 형성하도록 반도체 가공물 상의 전구체 가스와 상호작용한다.
본 발명에 따르면, 기판의 스텝 커버리지를 개선하는 플라즈마 향상된 원자층 증착(PEALD) 공정을 수행하는 방법 및 장치를 제공하는 것이 가능하다.
도 1은 순차적으로 처리되는 원자층 증착(ALD) 및 물리적 기상 증착(PVD)에 의해 증착된 층을 갖는 기판의 횡단면도를 나타낸다.
도 2는 개시된 PEALD 시스템의 일부 실시예들의 블록도를 나타낸다.
도 3a는 개시된 PEALD 시스템의 일부 대안적인 실시예들의 블록도를 나타낸다.
도 3b는 도 3a의 개시된 PEALD 시스템의 예시적인 동작의 타이밍 다이어그램을 나타낸다.
도 4는 개시된 PEALD 시스템의 일부 대안적인 실시예들의 블록도를 나타낸다.
도 5는 개시된 PEALD 시스템의 일부 대안적인 실시예들의 블록도를 나타낸다.
도 6은 PEALD 공정을 수행하는 방법의 일부 실시예들의 흐름도이다.
도 7 및 도 8은 PEALD 공정을 수행하는 개시된 방법이 구현되는 집적 칩(integrated chip; IC)의 일부 실시예들의 횡단면도를 나타낸다.
본 명세서의 설명은 도면을 참조하여 이루어지고, 여기서 같은 참조 번호는 일반적으로 도면에 걸쳐서 같은 요소를 나타내는데 이용되며, 다양한 구조물들은 반드시 실척도로 도시되는 것은 아니다. 다음 설명에서, 설명을 목적으로, 많은 특정한 세부 사항들이 이해의 용이함을 위해 제시된다. 도면들의 세부 사항들은 본 개시를 제한하기 위한 것이 아니라, 오히려 비제한적인 실시예임을 이해할 것이다. 예를 들어, 본 명세서에 기술된 하나 이상의 양태들은 낮은 등급의 특정한 세부 사항들로 실행될 수 있다는 것이 기술 분야의 당업자에게 명백해질 수 있다. 다른 경우에, 공지된 구조물 및 디바이스는 이해의 용이함을 위해 블록도로 도시된다.
원자층 증착(ALD) 공정은 막(film)의 증착을 위한 층간 공정(layer-by-layer process)이다. ALD 공정은 전구체 가스 및 반응 가스를 이용하여 프로세싱 챔버 내에 수용된 기판 상에 막을 증착한다. 예를 들어, 전구 가스는 기판 상에 전구체 분자를 증착하는데 이용되고, 그 이후에, 반응 가스는 기판 상의 전구체 분자와 접촉하게 될 수 있다. 프로세싱 챔버 내의 열은 반응 가스로 하여금 전구체 분자와 반응하도록 하여 기판 상에 막을 형성한다. ALD 공정이 양호한 스텝 커버리지(step coverage)를 제공하지만, ALD를 통한 증착은 이용을 제한하는 낮은 처리량을 갖는다.
물리적 기상 증착(PVD) 공정은 물질을 증발시키고, 증발된 물질을 기판에 전달하며, 기판 상에서 그 물질을 응축시켜 막을 형성함으로써 기판 상에 박막을 증착하는 물리적인 공정이다. PVD 공정이 ALD 공정보다 높은 처리량을 제공하지만, PVD 공정을 통한 증착은 열악한 스텝 커버리지를 갖는다.
통상적으로, 다수의 상이한 증착 공정들이 집적 칩의 제조 동안에 이용될 수 있다. 예를 들어, 도 1은 ALD 공정 및 PVD 공정이 순차적으로 수행되는 반도체 기판의 횡단면도(100)를 나타낸다. 횡단면도(100)에 도시된 바와 같이, 제 1 층(104)은 큰 높이 대 폭 종횡비를 포함하는, 복수의 스텝들(102a 및 102b)을 갖는 반도체 기판(102) 상에 ALD 공정에 의해 형성된다. 제 1 층(104)은 양호한 스텝 커버리지를 제공하는 양호한 균일성을 갖는다. 제 2 층(106)은 제 1 층(104) 위에 PVD 공정에 의해 형성된다. 스텝들(102a 및 102b)의 종횡비는, 제 2 층(106)으로 하여금 스텝들(102a 및 102b)의 측벽 상에 열악한 스텝 커버리지를 제공하도록 한다. 열악한 스텝 커버리지는 집적 칩 동작에 해로울 수 있는 빈틈(void)(108)을 제 2 층(106)에 야기할 수 있다.
플라즈마 향상된 ALD(즉, plasma enhanced ALD; PEALD)는 PVD 공정에 비해 향상된 스텝 커버리지를 제공하고, ALD 공정보다 높은 처리량을 제공하는데 이용될 수 있는 증착 공정이다. PEALD 공정은 RF-플라즈마(예컨대, 전구체 가스 및 반응 가스는 플라즈마 활성화 없이 서로 반응하지 않음)를 이용하여 ALD 공정과 비교하면 낮은 온도에서 개선된 막 전기적 특성 및 더욱 높은 증착률을 가능하게 한다.
본 발명은 개선된 갭 필 능력(gap-fill capability)을 갖는 상향식 공정을 제공하는 플라즈마 향상된 ALD(PEALD) 공정에 관한 것이다. 일부 실시예들에서, 개시된 PEALD 공정은 반도체 가공물(즉, 반도체 기판)을 포함하는 프로세스 챔버 안으로 전구체 가스를 도입하는 단계를 포함한다. 전구체 가스는 이온화되어 복수의 이온화된 전구체 분자를 형성한다. 바이어스 전압이 그 뒤에 가공물에 인가된다. 바이어스 전압은 이온화된 전구체 분자를 가공물에 끌어들여, 기판의 이방성 커버리지(anisotropic coverage)에 전구체 분자를 제공하도록 한다. 반응 가스가 프로세싱 챔버 안으로 도입된다. 그 뒤에, 플라즈마가 반응 가스로부터 점화되어, 반응 가스로 하여금 기판 상에 이방성으로 증착된 이온화된 전구체 분자와 반응하도록 하여 가공물 상에 증착된 층을 형성한다. 이방성으로 증착된 전구체 가스와 반응 가스를 반응시킴으로써, 상향식 막이 가공물에 형성된다.
도 2는 개시된 플라즈마 향상된 ALD(PEALD) 시스템(200)의 일부 실시예들의 블록도를 나타낸다.
PEALD 시스템(200)은 반도체 가공물(206)(예컨대, 실리콘 기판)을 수용하도록 구성된 프로세싱 챔버(202)를 포함한다. 일부 실시에들에서, 프로세싱 챔버(202)는 반도체 가공물(206)을 유지하도록 구성된 웨이퍼 척(204)을 포함한다.
전구체 가스 소스(214)가 제 1 도관(conduit)(214a)을 통해 프로세싱 챔버(202)에 결합된다. 제 1 도관(214a)은 제 1 밸브(valve)(214b)의 동작에 기초하여, 프로세싱 챔버(202)의 전구체 가스 주입구(inlet)(214c)에 전구체 가스를 선택적으로 제공하도록 구성될 수 있다. 반응 가스 소스(216)가 제 2 도관(216a)을 통해 프로세싱 챔버(202)에 결합된다. 제 2 도관(216a)은 제 2 밸브(216b)의 동작에 기초하여, 프로세싱 챔버(202)의 전구체 가스 주입구(216c)에 반응 가스를 선택적으로 제공하도록 구성될 수 있다. 본 명세서에 제공되는 용어 '밸브'는 특정한 물리적 또는 기계적 구조물로 제한되지 않고, 오히려 프로세싱 챔버(202)로의 가스의 흐름을 제어하는 임의의 요소를 나타낸다는 것이 이해될 것이다.
이온화 컴포넌트(220)가 프로세싱 챔버(202)와 통신한다. 이온화 컴포넌트(220)는 프로세싱 챔버(202) 내에서 가스 분자를 이온화하도록 구성된다. 일부 실시예들에서, 이온화 컴포넌트(220)는 상이한 시간에 프로세스 챔버(202) 내에서 전구체 가스 분자 및 반응 가스 분자를 이온화하도록 선택적으로 동작한다.
일부 실시예들에서, 이온화 컴포넌트(220)는 중성 가스 분자에 대해 충전된 입자(예컨대, 전자)를 추가 또는 제거함으로써, 프로세스 챔버(202) 내에서 전구체 가스의 중성 분자를 이온화하도록 구성된 이온화 요소(208)를 포함한다. 이온화 컴포넌트(220)는 다양한 방식에 따라 전구체 가스 분자를 이온화할 수 있다. 일부 실시예들에서, 이온화 요소(208)는 프로세싱 챔버(202) 내에 전기장을 발생시키도록 구성된다. 전기장은 프로세싱 챔버(202) 내에서 전구체 가스의 분자를 이온화하여 복수의 이온화된 분자를 포함하는 플라즈마를 발생시키도록 동작한다. 일부 다른 실시예들에서, 이온화 요소(208)는 전구체 가스 분자를 이온화하는 이온화 방사선을 발생시키도록 구성된 방사 유닛(irradiant unit)을 포함한다.
일부 실시예들에서, 이온화 컴포넌트(220)는 반도체 가공물(206) 상에 증착된 전도체 가스 분자와 반응 가스 간의 반응을 촉발시키기 위해서 반응 가스로부터 플라즈마를 점화하도록 구성된 플라즈마 발생기(210)를 더 포함한다. 반응은 반도체 가공물(206) 상에 이방성 증착된 층(226)을 형성한다. 일부 실시예들에서, 플라즈마 발생기(210)는 프로세싱 챔버(202) 내에 무선 주파수(radio frequency; RF) 플라즈마를 발생시키도록 구성된 RF 구동 유도적 결합된 플라즈마 소스를 더 포함할 수 있다. 다양한 실시예들에서, 플라즈마 발생기(210)는 프로세싱 챔버(202) 내에서 다이렉트 플라즈마(direct plasma)를 점화하거나, 또는 프로세싱 챔버(202)로부터 이격된 위치에서 인다이렉트 플라즈마(indirect plasma)를 점화하도록 구성될 수 있다.
바이어스 요소(212)는 반도체 가공물(206)에 전기적으로 연결된다. 바이어스 요소(212)는 반도체 가공물(206)에 바이어스 전압을 선택적으로 인가하도록 구성된다. 일부 실시예들에서, 바이어스 요소(212)는 시간의 함수로서 제 1 전압값과 제 2 전압값 사이에서 변하는 펄스형 바이어스 전압을 인가하도록 구성된다. 예를 들어, 일부 실시예들에서, 바이어스 요소(212)는 반도체 가공물(206)에, 대략 0V와 대략 -200V 사이의 범위에 있는 값을 갖는, 바이어스 전압을 인가하도록 구성된다. 바이어스 요소(212)와 이온화 요소(208)를 동시에 동작시킴으로써, 전구체 가스의 이온화된 분자는 반도체 가공물(206)의 방향으로 하향력(downward force)으로 반도체 가공물(206)에 끌리게 된다. 확산-흡수는 물론, 하향력은 반도체 가공물(206) 상에 전구체 가스 분자의 이방성 증착을 야기하며, 이는 개선된 스텝 커버리지를 제공하는 상향식 증착 공정으로 이방성 증착된 층(226)의 형성을 가능하게 한다.
예를 들어, 이방성으로 증착된 전구체 분자는 캐비티(224)의 측벽(222)에서보다 반도체 가공물(206) 내의 캐비티(224)의 하단 표면(220) 상에 더욱 큰 두께로 축적된다. 캐비티(224)의 하단 표면(220) 상의 전구체 분자의 더욱 큰 두께는 캐비티(224)로 하여금 상향식 증착 공정에서 하단 표면(220)으로부터 위쪽으로 충진되도록 한다. 상향식 증착 공정은 갭 필을 개선하여 증착된 층의 빈틈을 줄인다.
개시된 PEALD 시스템(200)은 단일의 단층을 갖는 증착된 층(226)을 형성하는 것으로 제한되지 않는다는 것이 이해될 것이다. 오히려, 개시된 PEALD 시스템(200)은 다수의 단층들을 포함하는 증착된 층(226)을 형성할 수 있다. 예를 들어, 개시된 PEALD 시스템(200)은 상단 표면 및 하단 표면 상에 다수의 원자 두께를 갖는 증착된 층(226)을 형성할 수 있지만, 이것은 스텝의 측벽 상에 더욱 얇은 증착된 층[예컨대, 단일 원자 두께를 갖는 증착된 층(226)]을 형성한다.
일부 실시예들에서, PEALD 시스템(200)은 프로세싱 챔버(202)를 퍼지(purge)하도록 구성된 퍼징 요소(218)를 더 포함한다. 퍼징 요소(218)는 제 3 밸브(218b)를 포함하는 제 3 도관(218a)을 통해 프로세싱 챔버(202)에 연결될 수 있다. 제 3 도관(218a)은 퍼징 아웃트렛(purging outlet)(218c)을 통해 프로세싱 챔버(202)에 퍼징 가스를 도입하도록 구성된다. 퍼징 가스는 프로세싱 챔버(202)로부터 다른 가스들을 비운다. 예를 들어, 퍼징 요소(218)는 프로세싱 챔버(202)로부터 전구체 가스 및/또는 반응 가스를 퍼지할 수 있다.
도 3a는 개시된 PEALD 시스템(300)의 일부 대안적인 실시예들의 블록도를 나타낸다.
PEALD 시스템(300)에 도시된 바와 같이, 프로세싱 챔버(202)는 진공 펌프(302)(예컨대, 터보 펌프)에 연결된 진공 챔버를 포함한다. 진공 펌프(302)는 프로세싱 챔버(202) 내에 저압(low pressure)을 발생시키도록 구성된다.
PEALD 시스템(300)은 RF 전원(304) 및 RF 안테나(306)를 포함하는 이온화 컴포넌트를 갖는다. RF 전원(304)은 설정 주파수(예컨대, 13.56 MHz)에서 동작하는 RF 신호를 발생시키도록 구성되고, 이러한 RF 신호는 RF 전원(304)으로부터, RF 안테나(306)를 통해, 프로세싱 챔버(202) 내의 가스로 에너지를 전달한다. 충분한 전력이 가스에 전달된 경우, 플라즈마가 점화된다. 일부 실시예들에서, RF 전원(304)은 RF 전원(304)의 출력 임피던스를 RF 안테나(306) 및 플라즈마 부하(즉, 임피던스)에 의해 확립된 복합 임피던스에 정합시키도록 구성된 정합 회로망을 포함할 수 있어, 이에 의해 프로세싱 챔버(202) 내에서 플라즈마에, RF 전원(304)에 의해 발생된 RF 신호로부터의 전력을 효율적으로 결합시킬 수 있다.
일부 실시예들에서, RF 안테나(306)는 전도성 와이어로 형성된 전도성 코일을 포함할 수 있고, 이는 RF 전원(304)으로부터, 프로세싱 챔버(202)에 동작적으로 결합된 위치로 확장된다. 일 실시예에서, 전도성 코일은 복수의 n번 회전을 위해 프로세싱 챔버(202)의 외부 주변에 감겨 질 수 있다. 대안적인 실시예들에서, 전도성 코일은 프로세싱 챔버(202) 내부에 포함될 수 있다.
제어 유닛(308)은 PEALD 시스템(300)의 하나 이상의 컴포넌트들의 동작을 제어하도록 구성되므로, PEALD 시스템(300)으로 하여금 기판 상에 증착된 층을 이방성으로 형성하는 PEALD 공정을 수행하도록 한다. 제어 유닛(308)은 반응 가스의 흐름, 전구체 가스의 흐름, 및 퍼지 가스의 흐름은 물론, RF 전원(304)의 동작(즉, 이온화 요소 및 플라즈마 발생기)을 순차적으로 제어하도록 구성된다.
일부 실시에들에서, 제어 유닛(308)은 RF 전원(304)에 제 1 제어 신호(Sctrl1), 바이어스 요소(212)에 제 2 제어 신호(Sctrl2), 및 RF 전원(304)에 제 3 제어 신호(Sctrl3)를 보내도록 구성된다. 제 1 제어 신호(Sctrl1)는 RF 전원(304)으로 하여금 제 1 동작 기간 동안에 전구체 가스를 선택적으로 이온화하도록 한다. 제 2 제어 신호(Sctrl2)는 바이어스 요소(212)로 하여금 제 1 동작 기간 동안에 반도체 가공물(206)에 바이어스 전압을 인가하도록 한다. 제 3 제어 신호(Sctrl3)는 RF 전원(304)으로 하여금 제 1 동작 기간이 끝난 이후에 플라즈마를 점화하도록 한다. 추가적인 실시예들에서, 제어 유닛(308)은 PEALD 시스템(300)의 다른 요소들[예컨대, 퍼징 요소(218), 제 1 밸프(214b), 제 2 밸브(216b) 등]에 추가적인 제어 신호를 보낼 수 있다.
도 3b는 제어 유닛(308)에 의한 PEALD 시스템(300)의 예시적인 동작을 나타내는 타이밍 다이어그램(310-318)을 도시한다.
타이밍 다이어그램(310)에 도시된 바와 같이, 제 1 시간(t1)에, 제어 유닛(308)은 전구체 가스 도관을 통해 프로세싱 챔버(202) 안으로 전구체 가스를 도입하도록 동작한다. 제어 유닛(308)은 전구체 가스로 하여금 제 1 시간(t1)부터 제 2 시간(t2)까지 프로세싱 챔버(202) 내에 흐르도록 한다.
제 1 시간(t1)과 제 2 시간(t2) 간에 존재하는 제 1 동작 기간(OP1) 동안, 제어 유닛(308)은 RF 전원(304)을 더욱 동작시켜 (타이밍 다이어그램(314)에 도시된 바와 같이) 프로세싱 챔버(202) 내에 복수의 이온화된 전구체 분자를 포함하는 플라즈마를 발생시키도록 전구체 가스를 이온화한다. 제 1 동작 기간 동안에, 제어 유닛(308)은 바이어스 요소(212)를 더욱 동작시켜 바이어스 전압을 인가하고, 이 바이어스 전압은 타이밍 다이어그램(318)에 도시된 바와 같이, 가공물(206)에 대해 제 1 값과 제 2 값 사이로 변한다. 바이어스 전압은 이온화된 전구체 분자로 하여금 하향력으로 가공물(206)에 끌리도록 한다. 하향력은 더욱 많은 이온화된 전구체 분자들이 가공물(206)의 수직 표면(예컨대, 여기서 입자의 축적은 확산에 의한 것임)보다는 가공물(206)의 수평 표면(예컨대, 여기서 입자의 축적은 하향력 및 확산에 의한 것임) 상에 증착하도록 하여, 가공물(206) 상에 전구체 분자의 이방성 커버리지를 제공한다.
제 2 시간(t2)에, (OP2 동안), 제어 유닛(308)은 전구체 가스를 턴오프하고 퍼징 요소(218)를 동작시켜 타이밍 다이어그램(316)에 도시된 바와 같이, 프로세싱 챔버(202)로부터 전구체 가스의 잔여물을 퍼지하는 퍼징 가스를 도입한다.
제 3 시간(t3)과 제 4 시간(t4) 간에 존재하는 제 3 동작 기간(OP3) 동안, 제어 유닛(308)은 타이밍 다이어그램(312)에 도시된 바와 같이, 반응 가스 도관을 통해 프로세싱 챔버(202) 안으로 반응 가스를 도입하도록 동작한다. 제어 유닛(308)은 반응 가스로 하여금 제 3 시간(t3)부터 제 4 시간(t4)까지 프로세싱 챔버(202) 안으로 흐르도록 한다.
제 3 동작 기간 동안, 제어 유닛(308)은 플라즈마 발생기(210)를 더욱 동작시켜 타이밍 다이어그램(314)에 도시된 바와 같이, 반응 가스로부터 플라즈마(예컨대, RF 플라즈마)를 점화한다. 플라즈마는 반응 가스로 하여금 가공물(206) 상에 축적된, 이방성으로 증착된 전구체 가스 분자와 상호작용하도록 한다. 이방성으로 증착된 전구체 가스 분자는 가공물(206)의 수평 표면에서보다 가공물(206)의 측벽을 따라 더욱 얇은, 가공물(206) 상의 상향식 증착된 층을 야기한다.
제 4 시간(t4)에, (OP4 동안), 제어 유닛(308)은 반응 가스를 턴오프하고 퍼징 요소(218)를 동작시켜 타이밍 다이어그램(316)에 도시된 바와 같이, 프로세싱 챔버(202)로부터 반응 가스의 잔여물을 퍼지하는 퍼징 가스를 도입한다.
전구체 가스 및 반응 가스는 증착될 물질에 기초하여 선택될 수 있다는 것이 이해될 것이다. 다양한 실시예들에서, 증착된 층은 산화물(예컨대, SiO2, HfO2, Al2O3, 등) 또는 금속(예컨대, TiN, TaN 등)을 포함할 수 있다. 예를 들어, SiO2 산화물을 포함하는 증착된 층을 형성하기 위해서, 실리콘 전구체(예컨대, 테트라디메틸-아미노실리콘) 및 산화물 반응 가스가 이용될 수 있다. 유사하게, HfO2를 포함하는 증착된 층을 형성하기 위해서, 하프늄 전구체(예컨대, 테트라키스(에틸메틸아미노)하프늄) 및 산소 반응 가스가 이용될 수 있다.
도 4는 개시된 PEALD 시스템(400)의 일부 대안적인 실시예들의 블록도를 나타낸다.
PEALD 시스템(400)은 프로세싱 챔버(202)로부터 이격된 위치에서 플라즈마를 발생시키도록 구성된 원격 플라즈마 발생기를 포함한다. 플라즈마는 실질적으로 원격 플라즈마 주입구(410)를 통해, 프로세싱 챔버(202) 안으로 도입된다.
일부 실시예들에서, 원격 플라즈마 발생기는 반응 가스 주입구(408)를 통해 반응 가스 소스(216)로부터 반응 가스를 수신하도록 구성된 원격 플라즈마 챔버(402)를 포함한다. 원격 플라즈마 발생기는 (예컨대, RF 유도성 플라즈마 결합에 의해, 또는 마이크로웨이브 결합에 의해) 반응 가스에 기초하여 원격 플라즈마를 점화한다. 일부 실시예들에서, 원격 플라즈마 발생기는 원격 플라즈마 챔버(402) 주변을 감싸는 전도성 코일(406)에 RF 신호를 제공하도록 구성된 RF 전원(404)을 포함한다.
PEALD 시스템(400)은 프로세싱 챔버(202)에 대하여 서로 다른 위치에 배치된 양극(412a) 및 음극(412b)에 전기적으로 연결된 이온화 전압 발생기(412)를 포함하는 이온화 요소를 더 포함한다. 이온화 전압 발생기(412)는 양극(412a)과 음극(412b) 간에 큰 전위차를 인가하도록 구성된다. 큰 전위차는 프로세싱 챔버(202)에 스며드는 전기장을 형성한다. 전기장은 프로세싱 챔버(202) 내에 복수의 이온화된 전구체 분자를 발생시키기 위해 전구체 가스의 분자들을 이온화하도록 동작한다.
제어 유닛(308)은 제 1 동작 기간 동안에 바이어스 요소(212) 및 이온화 요소[예컨대, 이온화 전압 발생기(412)를 포함함]를 동작시키도록 구성되고, 제 1 동작 기간 이후의 제 2 동작 기간 동안에 플라즈마 발생기[예컨대, RF 전원(404)을 포함함]를 동작시키도록 구성되어, 반도체 가공물(206) 상에 이방성으로 증착된 층을 형성한다.
도 5는 개시된 PEALD 시스템(500)의 일부 대안적인 실시예들의 블록도를 나타낸다.
PEALD 시스템(500)은 원격 이온화 요소(502)를 포함한다. 원격 이온화 요소(502)는 프로세싱 챔버(202)의 상류 위치에서 전구체 가스를 이온화하고 프로세싱 챔버(202)에 이온화된 전구체 가스 분자를 제공하도록 구성된다. 원격 이온화 요소(502)는 전구체 가스를 이온화하기 위해(즉, 전구체 가스 분자로부터 전자를 제거하기 위해) 광범위한 이온화 기술들을 이용할 수 있다. 일부 실시예들에서, 원격 이온화 요소(502)는 전구체 가스를 이온화하기 위해 레이저 펄스를 이용할 수 있다. 다른 실시예들에서, 원격 이온화 요소(502)는 이온화 방사선(예컨대, 자외선, x선 등)을 발생시키도록 구성되고, 이는 전구체 가스 분자를 이온화하기 위한(즉, 전구체 가스 분자로부터 전자를 제거하기 위한) 충분한 에너지를 갖는다.
예를 들어, 일부 실시예들에서, 원격 이온화 요소(502)는 전구체를 분자로서 이온화하도록 구성된 방사 유닛을 포함한다. 방사 유닛은 이온화 방사선(510)(예컨대, 소프트 x선, 자외선 방사선 등)을 발생시키도록 구성된 이온화 방사선 소스(504)(예컨대, 소프트 x선 소스, 자외선 방사선 소스 등)를 포함한다. 이온화 방사선 소스(504)는 투명 창(506)을 수용하도록 구성된 캐비티와 통신한다. 이온화 방사선(510)은 이온화 챔버(508) 안으로 진입하도록 투명 창(506)을 횡단한다. 일부 실시예들에서, 투명 창(506)은 폴리머 박막으로 구성될 수 있다.
가압된 전구체 가스가 전구체 가스 주입구(512)를 통해 전구체 가스 소스(214)로부터 이온화 챔버(508)에 제공된다. 일부 실시예들에서, 추가 가스가 또한 추가 가스 주입구(516)를 통해 추가 가스 소스(514)로부터 이온화 챔버(508)에 제공될 수 있다. 일부 실시예들에서, 추가 가스는 이온화 챔버(508)로의 가압된 전구체 가스 흐름의 속도에 의해 생성된 저압 영역에 의해 이온화 챔버(508)에 유입될 수 있다. 추가 가스는 전구체 가스와 합성되어 합성 가스를 형성하고, 이는 이온화 방사선(510)에 의해 이온화된다. 합성 이온화 가스(518)는 이온화 챔버(508)로부터 프로세싱 챔버(202)에 제공된다.
도 6은 플라즈마 향상된 ALD(PEALD) 공정을 수행하는 방법(600)의 일부 실시예들의 흐름도이다.
개시된 방법(600)이 일련의 행동 또는 이벤트로서 이하에 나타나고 설명되었지만, 이와 같은 행동 또는 이벤트의 예시된 순서는 제한적인 의미로 해석되는 것이 아니라는 것이 이해될 것이다. 예를 들어, 일부 행동들은 본 명세서에 예시 및/또는 기술된 것을 제외한 다른 행동 또는 이벤트와 동시에 발생하거나 상이한 순서로 발생할 수 있다. 게다가, 예시된 모든 행동들이 본 명세서의 설명의 하나 이상의 양태들 또는 실시예들을 구현하는데 반드시 요구되는 것은 아니다. 또한, 본 명세서에 도시된 행동들 중 하나 이상은 하나 이상의 별도의 행동 및/또는 단계로 수행될 수 있다.
행동 602에서, 전구체 가스가 반도체 가공물을 수용하도록 구성된 프로세싱 챔버 안으로 도입된다. 일부 실시예들에서, 전구체 가스는 SAM24를 포함할 수 있다. 다른 실시예들에서, 전구체 가스는 예를 들어 실리콘, 하프늄, 또는 알루미늄을 포함할 수 있다.
행동 604에서, 전구체 가스가 프로세싱 챔버 내에 복수의 이온화된 전구체 분자를 형성하도록 이온화된다.
행동 606에서, 바이어스 전압이 반도체 가공물에 인가된다. 일부 실시예들에서, 바이어스 전압은 제 1 값과 제 2 값을 갖는 펄스형 DC 바이어스를 포함할 수 있다. 제 1 값 및 제 2 값은 대략 0 V와 대략 -200 V 사이의 범위에 이를 수 있다.
행동 608에서, 전구체 가스의 잔여물이 프로세싱 챔버로부터 퍼징될 수 있다. 전구체 가스의 잔여물을 퍼징하는 것은 프로세싱 챔버로부터 반도체 가공물 상에 축적되지 않은 전구체를 제거한다.
행동 610에서, 반응 가스가 프로세싱 챔버 안으로 도입된다.
행동 612에서, 플라즈마가 프로세싱 챔버 내에서 반응 가스로부터 점화된다. 플라즈마는 반도체 가공물 상에 축적된 이온화된 전구체 분자와 반응 가스 간의 반응을 일으킨다. 반응은 반도체 가공물 상에 이방성으로 증착된 층을 야기한다.
일부 실시예들에서, 플라즈마는 반응 가스에 대한 RF 유도성 결합에 의해 발생될 수 있다. 일부 실시예들에서, RF 플라즈마는 기판과 직접적으로 접촉하는 프로세싱 챔버 내의 위치에 형성되는 다이렉트 플라즈마를 포함할 수 있다. 다른 실시예들에서, RF 플라즈마는 기판에서 떨어진 위치에 형성되는 원격 플라즈마를 포함할 수 있고, 이는 기판에 제공된다.
행동 614에서, 반응 가스의 잔여물이 프로세싱 챔버로부터 퍼징될 수 있다. 반응 가스의 잔여물을 퍼징하는 것은 프로세싱 챔버로부터 비반응된 반응 가스(즉, 반도체 가공물 상의 전도체 분자와 반응하지 않은 반응 가스) 및 반응의 부산물을 제거한다.
도 7 및 도 8은 PEALD 공정을 수행하는 개시된 방법이 구현되는 집적 칩(IC)의 일부 실시예들의 횡단면도를 나타낸다.
도 7은 행동(602 내지 606)에 대응하는 횡단면도(700)의 일부 실시예들을 나타낸다. 횡단면도(700)에 도시된 바와 같이, 전구체 가스(702)가 반도체 가공물(206)을 수용하는 프로세스 챔버(202) 안으로 도입된다. 전구체 가스(702)가 복수의 이온화된 전구체 분자(704)를 갖는 플라즈마를 형성하도록 이온화된다. 다양한 실시예들에서, 반도체 가공물(206)은 하나 이상의 스텝들을 갖는 표면 위상을 포함할 수 있다.
반도체 가공물(206)에 인가된 바이어스 전압이 이온화된 전구체 분자(704)로하여금 하향력(fd)으로 반도체 가공물(206)에 끌리도록 하여, 이온화된 전구체 분자로 하여금 이방성 방식으로 증착되도록 한다. 예를 들어, 이온화된 전구체 분자(704)는 반도체 가공물(206)의 수직 측벽에서보다 반도체 가공물의 수평 표면에서 더욱 많이 축적된다.
도 8은 행동(610 내지 612)에 대응하는 횡단면도(800)의 일부 실시예들을 나타낸다. 횡단면도(800)에 도시된 바와 같이, 반응 가스(802)가 프로세스 챔버(202) 안으로 도입된다. 복수의 이온을 갖는 플라즈마가 반응 가스(802)로부터 점화된다. 플라즈마의 이온(804)은 반도체 가공물(206) 상에 축적된 전구체 분자(704)와 반응하여 증착된 층(806)을 형성하고, 이 증착된 층(806)은 반도체 가공물(206)의 수직 측벽 상의 두께(t2)보다 두꺼운 반도체 가공물의 수평 상단/하단 표면 상의 두께(t1)를 갖는다. 수평 상단/하단 표면 상의 증착된 층(806)의 두꺼운 두께(t1)는 반도체 가공물(206)의 캐비티로 하여금 하단으로부터 위쪽으로 충진되도록 하여, 캡 필을 개선하고 증착된 층(806)의 빈틈을 줄인다.
본 명세서에 기술된 방법론들의 양태를 논의하는데 예시적인 구조물을 이 문서에 걸쳐서 참조하였지만, 이러한 방법론들은 제시된 대응하는 구조물에 의해 제한되지 않는다는 것이 이해될 것이다. 오히려, 방법론 및 구조물은 서로 관계없는 것으로 고려되고, 분리될 수 있고, 도면들에 도시된 특정한 양태들 중 임의의 양태에 상관없이 실행될 것이다.
부가적으로, 본 명세서에서 이용되는 용어 "이방성"은 증착에 대한 방향성을 의미하지만, 증착의 등방성 컴포넌트를 배제하지 않는다. 예를 들어, 논의된 바이어스 요소가 기판에 낮은 바이어스 전압을 인가할 때, 개시된 바이어스 요소가 기판에 더욱 높은 바이어스 전압을 인가할 때보다 더욱 큰 등방성 등급을 갖는 "이방성" 증착을 제공한다.
또한, 등가의 변경 및/또는 수정이 첨부된 도면 및 상세한 설명의 이해 및/또는 판독에 기초하여 기술 분야의 당업자에게 발생할 수 있다. 본 명세서의 논의는 이와 같은 수정 및 변경을 모두 포함하고 일반적으로 이에 의해 제한되도록 의도되지 않는다. 예를 들어, 본 명세서에 제공된 도면들이 특정한 도핑 유형을 갖는 것으로 예시되고 기술되었지만, 기술 분야의 당업자에 의해 이해되는 바와 같이 대안적인 도핑 유형들이 이용될 수 있다는 것이 이해될 것이다.
게다가, 특정한 피처 또는 양태가 몇 가지 구현 중 하나에 대해 개시되었지만, 이러한 피처 또는 양태는 원하는 바에 따라 다른 구현들의 하나 이상의 다른 피처 및/또는 양태와 조합될 수 있다. 더욱이, 용어 "포함하다", "구비하는", "구비한다", "함께" 및/또는 이들의 변형이 본 명세서에 이용되는 경우에, 이와 같은 용어는 용어 "구성하는"과 같은 의미로 포괄적인 것으로 의도된다. 또한, "예시적인"은 최적(best)보다는, 단지 예제를 의미하기 위해 의도된다. 본 명세서에 도시된 피처들, 층들, 및/또는 요소들은 간결함 및 이해의 용이성을 위해 서로에 관하여 특정한 치수 및/또는 방향으로 나타났고, 실제 치수 및/또는 방향은 본 명세서에 나타난 것과는 상이할 수 있다는 것이 이해될 것이다.
그러므로, 본 개시는 기판의 스텝 커버리지를 개선하는 플라즈마 향상된 원자층 증착(PEALD) 공정을 수행하는 방법 및 장치에 관한 것이다.
일부 실시예들에서, 본 개시는 플라즈마 향상된 원자층 증착(PEALD) 시스템에 관한 것이다. PEALD 시스템은 반도체 가공물을 수용하도록 구성된 프로세싱 챔버 안으로 전구체 가스를 제공하도록 구성된 전구체 가스 주입구를 포함하고, 반응 가스 주입구는 프로세싱 챔버 안으로 반응 가스를 제공하도록 구성된다. PEALD 시스템은 전구체 가스를 이온화하여 복수의 이온화된 전구체 분자를 형성하고, 그 뒤에 반응 가스로부터 플라즈마를 점화하도록 구성된 이온화 컴포넌트를 더 포함하고, 플라즈마는 이온화된 전구체 분자와 반응 가스 간의 반응을 일으켜 증착된 층을 형성한다. PEALD 시스템은 반도체 가공물에 이온화된 전구체 분자를 이방성으로 끌어들이는 바이어스 전압을 반도체 가공물에 인가하도록 구성된 바이어스 요소를 더 포함한다.
다른 실시예들에서, 본 개시는 플라즈마 향상된 원자층 증착(PEALD) 시스템에 관한 것이다. PEALD 시스템은 제 1 도관을 통해 반도체 가공물을 수용하도록 구성된 프로세싱 챔버에 결합된 전구체 가스 소스 및 제 2 도관을 통해 프로세싱 챔버에 결합된 반응 가스 소스를 포함한다. PEALD 시스템은 프로세싱 챔버 내에서 복수의 이온화된 전구체 분자를 형성하도록 전구체 가스를 이온화하도록 구성된 이온화 요소 및 바이어스 전압을 반도체 가공물에 인가하도록 구성된 바이어스 요소를 더 포함하고, 본 명세서에서 바이어스 전압은 반도체 가공물에 이온화된 전구체 분자를 끌어들인다. PEALD 시스템은 반도체 가공물 상의 이온화된 전구체 분자와 반응 가스 간의 반응을 일으키는 플라즈마를 프로세싱 챔버 내에서 점화하도록 구성된 플라즈마 발생기를 더 포함한다. PEALD 시스템은 제 1 동작 기간 동안 바이어스 요소 및 이온화 요소를 동작시키고 제 1 동작 기간 후의 제 2 동작 기간 동안 플라즈마 발생기를 동작시키도록 구성된 제어 유닛을 더 포함한다.
다른 실시예들에서, 본 개시는 플라즈마 향상된 원자층 증착(PEALD) 공정을 수행하는 방법에 관한 것이다. 방법은 반도체 가공물을 수용하도록 구성된 프로세싱 챔버 안으로 전구체 가스를 도입하는 단계를 포함한다. 방법은 전구체 가스의 분자를 이온화하는 단계를 더 포함한다. 방법은 반도체 가공물에 이온화된 전구체 분자를 끌어들이기 위해 반도체 가공물을 바이어스하는 단계를 더 포함한다. 방법은 프로세싱 챔버 안으로 반응 가스를 도입하는 단계를 더 포함하고, 반응 가스는 증착된 층을 형성하도록 반도체 가공물 상의 전구체 가스와 상호작용한다.

Claims (10)

  1. 플라즈마 향상된 원자층 증착(plasma enhanced atomic layer deposition; PEALD) 시스템에 있어서,
    반도체 가공물을 수용하도록 구성된 프로세싱 챔버 안으로 전구체 가스를 제공하도록 구성된 전구체 가스 주입구;
    상기 프로세싱 챔버 안으로 반응 가스를 제공하도록 구성된 반응 가스 주입구;
    상기 전구체 가스를 이온화하여 복수의 이온화된 전구체 분자를 형성하고 그 뒤에 상기 반응 가스로부터 플라즈마를 점화하도록 구성된 이온화 컴포넌트로서, 상기 플라즈마는 상기 이온화된 전구체 분자와 상기 반응 가스 간의 반응을 일으켜 증착된 층을 형성하는 것인 이온화 컴포넌트; 및
    상기 반도체 가공물에 상기 이온화된 전구체 분자를 이방성으로 끌어들이는 바이어스 전압을 상기 반도체 가공물에 인가하도록 구성된 바이어스 요소
    를 포함하는 것인 PEALD 시스템.
  2. 제 1 항에 있어서,
    제어 유닛을 더 포함하고, 상기 제어 유닛은,
    상기 이온화 컴포넌트에 제 1 제어 신호 - 상기 제 1 제어 신호는 상기 이온화 컴포넌트로 하여금 제 1 동작 기간 동안에 상기 전구체 가스를 선택적으로 이온화하도록 함 - 를 보내고,
    상기 바이어스 요소에 제 2 제어 신호 - 상기 제 2 제어 신호는 상기 바이어스 요소로 하여금 상기 제 1 동작 기간 동안에 상기 반도체 가공물에 상기 바이어스 전압을 인가하도록 함 - 를 보내며,
    상기 이온화 컴포넌트에 제 3 제어 신호 - 상기 제 3 제어 신호는 상기 이온화 컴포넌트로 하여금 상기 제 1 동작 기간이 끝난 후에 상기 플라즈마를 점화하도록 함 - 를 보내도록 구성되는 것인 PEALD 시스템.
  3. 제 1 항에 있어서,
    상기 프로세싱 챔버 안으로 상기 반응 가스를 도입하기 전에 상기 프로세싱 챔버로부터 상기 전구체 가스의 잔여물을 퍼지(purge)하도록 구성된 퍼징 요소를 더 포함하는 것인 PEALD 시스템.
  4. 제 1 항에 있어서, 상기 이온화 요소는, i) 상기 프로세싱 챔버 내에서 다이렉트 플라즈마(direct plasma)를 점화하거나, 또는 ii) 상기 프로세싱 챔버로부터 이격된 위치에서 원격 플라즈마(remote plasma)를 점화하고, 그 뒤에 원격 플라즈마 주입구를 통해 상기 프로세싱 챔버 안으로 상기 원격 플라즈마를 도입하도록 구성되는 것인 PEALD 시스템.
  5. 제 1 항에 있어서, 상기 증착된 층은 산화물 또는 금속을 포함하는 것인 PEALD 시스템.
  6. 제 1 항에 있어서, 상기 바이어스 전압은 시간의 함수로서 제 1 값과 제 2 값 사이에서 변하는 펄스형 바이어스 전압을 포함하는 것인 PEALD 시스템.
  7. 플라즈마 향상된 원자층 증착(PEALD) 시스템에 있어서,
    제 1 도관을 통해 반도체 가공물을 수용하도록 구성된 프로세싱 챔버에 결합된 전구체 가스 소스;
    전구체 가스를 이온화하여 상기 프로세싱 챔버 내에서 복수의 이온화된 전구체 분자를 형성하도록 구성된 이온화 요소;
    상기 반도체 가공물에 바이어스 전압을 인가하도록 구성된 바이어스 요소로서, 상기 바이어스 전압은 상기 반도체 가공물에 상기 이온화된 전구체 분자를 끌어들이는 것인 바이어스 요소;
    제 2 도관을 통해 상기 프로세싱 챔버에 결합된 반응 가스 소스;
    상기 프로세싱 챔버 내에서 플라즈마를 점화하도록 구성된 플라즈마 발생기로서, 상기 플라즈마는 상기 반도체 가공물 상의 이온화된 전구체 분자와 상기 반응 가스 간의 반응을 일으키는 것인 플라즈마 발생기; 및
    제 1 동작 기간 동안 상기 바이어스 요소 및 상기 이온화 요소를 동작시키고 상기 제 1 동작 기간 후의 제 2 동작 기간 동안 상기 플라즈마 발생기를 동작시키도록 구성된 제어 유닛
    을 포함하는 것인 PEALD 시스템.
  8. 플라즈마 향상된 원자층 증착(PEALD) 공정을 수행하는 방법에 있어서,
    반도체 가공물을 수용하도록 구성된 프로세싱 챔버 안으로 전구체 가스를 도입하는 단계;
    상기 전구체 가스의 분자를 이온화하는 단계;
    상기 반도체 가공물에 상기 이온화된 전구체 분자를 끌어들이기 위해 상기 반도체 가공물을 바이어스하는 단계; 및
    상기 프로세싱 챔버 안으로 반응 가스를 도입하는 단계로서, 상기 반응 가스는 상기 반도체 가공물 상의 전구체 가스와 상호작용하여 증착된 층을 형성하는 것인 반응 가스 도입 단계
    를 포함하는 PEALD 공정 수행 방법.
  9. 제 8 항에 있어서,
    상기 프로세싱 챔버 안으로 상기 반응 가스를 도입하는 단계 전에 상기 전구체 가스의 잔여물을 퍼징하는 단계를 더 포함하는 것인 PEALD 공정 수행 방법.
  10. 제 8 항에 있어서,
    상기 반응 가스로부터 플라즈마를 점화하는 단계를 더 포함하고, 상기 플라즈마는 상기 반도체 가공물 상에 축적된 이온화된 전구체 분자와 상기 반응 가스 간의 반응을 일으키는 것인 PEALD 공정 수행 방법.
KR20130067795A 2013-02-08 2013-06-13 상향식 peald 공정 KR101511424B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/762,547 2013-02-08
US13/762,547 US9184045B2 (en) 2013-02-08 2013-02-08 Bottom-up PEALD process

Publications (2)

Publication Number Publication Date
KR20140101264A true KR20140101264A (ko) 2014-08-19
KR101511424B1 KR101511424B1 (ko) 2015-04-10

Family

ID=51297719

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20130067795A KR101511424B1 (ko) 2013-02-08 2013-06-13 상향식 peald 공정

Country Status (2)

Country Link
US (2) US9184045B2 (ko)
KR (1) KR101511424B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160062487A (ko) * 2014-11-25 2016-06-02 주식회사 원익아이피에스 플라즈마 원자층 증착법에 의한 박막 형성방법
KR20190035700A (ko) * 2016-07-28 2019-04-03 에이에스엠 아이피 홀딩 비.브이. 갭을 충진하기 위한 방법 및 장치

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10101258B2 (en) * 2014-08-28 2018-10-16 Tsi, Incorporated Detection system for determining filtering effectiveness of airborne molecular contamination
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9484469B2 (en) * 2014-12-16 2016-11-01 International Business Machines Corporation Thin film device with protective layer
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101942819B1 (ko) * 2016-02-05 2019-01-30 연세대학교 산학협력단 박막 형성 방법
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) * 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114467164A (zh) * 2019-09-12 2022-05-10 应用材料公司 排斥网和沉积方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
JP2024500402A (ja) * 2020-12-19 2024-01-09 ラム リサーチ コーポレーション 複数の均一に加熱された充填ボリュームを用いた原子層堆積
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220328292A1 (en) * 2021-04-09 2022-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma ultraviolet enhanced deposition
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US6797942B2 (en) * 2001-09-13 2004-09-28 University Of Chicago Apparatus and process for the lateral deflection and separation of flowing particles by a static array of optical tweezers
US5696428A (en) * 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
US6563110B1 (en) 2000-05-02 2003-05-13 Ion Systems, Inc. In-line gas ionizer and method
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7348082B2 (en) * 2004-02-05 2008-03-25 General Motors Corporation Recursive Kalman filter for feedback flow control in PEM fuel cell
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8053372B1 (en) * 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160062487A (ko) * 2014-11-25 2016-06-02 주식회사 원익아이피에스 플라즈마 원자층 증착법에 의한 박막 형성방법
KR20190035700A (ko) * 2016-07-28 2019-04-03 에이에스엠 아이피 홀딩 비.브이. 갭을 충진하기 위한 방법 및 장치

Also Published As

Publication number Publication date
US9184045B2 (en) 2015-11-10
KR101511424B1 (ko) 2015-04-10
US20160013043A1 (en) 2016-01-14
US10121653B2 (en) 2018-11-06
US20140227861A1 (en) 2014-08-14

Similar Documents

Publication Publication Date Title
KR101511424B1 (ko) 상향식 peald 공정
JP7293211B2 (ja) 高エネルギー原子層エッチング
Faraz et al. Energetic ions during plasma-enhanced atomic layer deposition and their role in tailoring material properties
US9911594B2 (en) Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
JP6629312B2 (ja) 選択的堆積のための方法及び装置
US20120263887A1 (en) Technique and apparatus for ion-assisted atomic layer deposition
US7772110B2 (en) Electrical contacts for integrated circuits and methods of forming using gas cluster ion beam processing
JP5318562B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
JP5253149B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
US8664126B2 (en) Selective deposition of polymer films on bare silicon instead of oxide surface
CN109306469A (zh) 通过使用负偏压的peald沉积膜的方法
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
US8563414B1 (en) Methods for forming conductive carbon films by PECVD
JP2006265724A (ja) 中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法
US20040110375A1 (en) Method and system for etching high-k dielectric materials
TW201639000A (zh) 利用掩模及方向性電漿處理之選擇性沉積
KR20180025973A (ko) 지향성 플라즈마 및 사용 지점에서의 화학작용을 이용하여 기판들을 처리하기 위한 장치 및 기술들
US20080132060A1 (en) Contact barrier layer deposition process
CN112424914A (zh) 电子激励原子层蚀刻
CN107731845B (zh) 一种利用离子注入增大阶梯区域接触窗口的方法
Ji et al. Low temperature silicon nitride grown by very high frequency (VHF, 162MHz) plasma enhanced atomic layer deposition with floating multi-tile electrode
CN112490127A (zh) 一种用带电粒子修正材料层的刻蚀速率的方法
US20220328292A1 (en) Remote plasma ultraviolet enhanced deposition
JJ et al. Surfaces and Interfaces—I
JP4719195B2 (ja) スパッタリング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180328

Year of fee payment: 4