KR20180025973A - 지향성 플라즈마 및 사용 지점에서의 화학작용을 이용하여 기판들을 처리하기 위한 장치 및 기술들 - Google Patents

지향성 플라즈마 및 사용 지점에서의 화학작용을 이용하여 기판들을 처리하기 위한 장치 및 기술들 Download PDF

Info

Publication number
KR20180025973A
KR20180025973A KR1020187004101A KR20187004101A KR20180025973A KR 20180025973 A KR20180025973 A KR 20180025973A KR 1020187004101 A KR1020187004101 A KR 1020187004101A KR 20187004101 A KR20187004101 A KR 20187004101A KR 20180025973 A KR20180025973 A KR 20180025973A
Authority
KR
South Korea
Prior art keywords
gas
substrate
plasma
plasma beam
ions
Prior art date
Application number
KR1020187004101A
Other languages
English (en)
Inventor
슈롱 리앙
코스텔 빌로이우
글렌 길크리스트
비크람 싱
크리스토퍼 캠프벨
리차드 헤르텔
알렉산더 씨. 콘토스
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20180025973A publication Critical patent/KR20180025973A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/147Arrangements for directing or deflecting the discharge along a desired path
    • H01J37/1471Arrangements for directing or deflecting the discharge along a desired path for centering, aligning or positioning of ray or beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

일 실시예에서, 기판을 처리하는 장치는 플라즈마 챔버로부터 플라즈마 빔을 추출하고, 플라즈마 빔을 기판으로 보내는 추출 플레이트를 포함할 수 있다. 플라즈마 빔은 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들; 및 플라즈마 챔버 외측에 배치된 가스 출구 시스템을 포함할 수 있고, 가스 출구 시스템은 가스 소스에 결합되고 그리고 가스 소스로부터 수신된 반응 가스를 기판으로 전달하도록 배열되고, 반응 가스는 플라즈마 챔버를 통과하지 않는다.

Description

지향성 플라즈마 및 사용 지점에서의 화학작용을 이용하여 기판들을 처리하기 위한 장치 및 기술들
본 실시예들은 전자 디바이스 프로세싱 기술들에 관한 것으로, 보다 상세하게는, 기판의 표면을 처리하기 위한 프로세싱에 관한 것이다.
통합 디바이스들이 계속해서 더 적은 치수로 스케일링(scale)함에 따라, 패턴 피처(pattern feature)들에 대한 능력이 점점 더 어려워진다. 이들 어려움들은 일 측면에서 기판으로 패턴을 보존하거나 또는 전사(transfer)하기 위한 에칭 피처들에 대한 능력을 포함한다. 많은 디바이스 애플리케이션들에서 금속이 알려진 반응성 이온 에칭 또는 유사한 프로세스들을 이용하여 휘발성 에칭 산물들을 쉽게 형성하지 않기 때문에 패터닝된 피처는 에칭하기 어렵게 하는 금속 층을 포함할 수 있다. 물리적 스퍼터링을 통한 금속 층들의 에칭은 형성되고 있는 디바이스 피처들의 표면들 위를 포함하여 기판의 원치 않는 표면들 위에 재증착하는 소정의 금속 층의 스퍼터링된 종(species)에 대한 경향 때문에 문제가 있을 수 있다. 이것은 비-휘발성 금속 하층(underlayer)의 에칭으로부터 재증착된 과잉 재료를 갖거나 뿐만 아니라 비-수직 측벽들을 갖는 필라(pillar)들 또는 라인들과 같은 패터닝된 구조들로 이어질 수 있다.
이런 저런 고려사항들에 대하여 본 개선점들은 유용할 수 있다.
이 요약은 이하에 상세한 설명부분에 추가로 설명되는 간략화된 형태에서의 개념들의 선택을 소개하기 위해 제공된다. 이 요약은 청구된 내용의 주요 특징들 또는 핵심 특징들을 식별하도록 의도되지 않으며, 또한 이 요약은 청구된 내용의 범위를 결정하는데 보조수단으로서 의도되지도 않는다.
일 실시예에서, 기판을 처리하기 위한 장치는 플라즈마 챔버로부터 플라즈마 빔을 추출하고, 상기 플라즈마 빔을 상기 기판으로 보내는 추출 플레이트(extraction plate)으로서, 상기 플라즈마 빔은 상기 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들을 포함하는, 상기 추출 플레이트; 및 상기 플라즈마 챔버 외측에 배치된 가스 출구 시스템으로서, 상기 가스 출구 시스템은 가스 소스에 결합되고 그리고 상기 가스 소스로부터 수신된 반응 가스(reactive gas)를 상기 기판으로 전달하도록 배열되고, 상기 반응 가스는 상기 플라즈마 챔버를 통과하지 않는, 상기 가스 출구 시스템을 포함할 수 있다.
다른 실시예에서, 기판을 처리하기 위한 시스템은 플라즈마를 하우징하는 플라즈마 챔버; 상기 플라즈마 챔버로부터 플라즈마 빔을 추출하고, 상기 플라즈마 빔을 상기 기판으로 보내는 추출 플레이트(extraction plate)로서, 상기 플라즈마 빔은 상기 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들을 포함하는, 상기 추출 플레이트; 및 상기 플라즈마 챔버 외측에 배치된 가스 출구 시스템으로서, 상기 가스 출구 시스템은 가스 소스에 결합되고 그리고 상기 가스 소스로부터 수신된 반응 가스(reactive gas)를 상기 기판으로 전달하도록 배열되고, 상기 반응 가스는 상기 플라즈마 챔버를 통과하지 않는, 상기 가스 출구 시스템을 포함할 수 있다.
다른 실시예에서, 기판을 처리하는 방법은 플라즈마로부터 플라즈마 빔을 추출하는 단계로서, 상기 플라즈마 빔은 상기 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들을 포함하는, 상기 추출하는 단계; 및 가스 소스로부터 상기 기판으로 반응 가스를 보내는 단계로서, 상기 반응 가스는 상기 플라즈마를 통과하지 않는, 상기 보내는 단계를 포함할 수 있다.
도 1a은 본 개시의 실시예들에 따른 시스템을 도시한다;
도 1b는 도 1a의 시스템의 일 실시예를 도시한다;
도 1c는 도 1b의 장치의 실시예의 평면도를 도시한다;
도 1d는 다양한 실시예들에 따른 프로세싱 장치 및 기판의 기하학적 구조의 세부사항들을 도시한다;
도 2a 내지 도 2d는 본 개시의 실시예들에 따른 기판 에칭의 예를 도시한다;
도 3a 및 도 3b는 필라의 에칭 동안에 사용지점(point-of-use)에서의 화학 작용(chemistry)을 채용한 효과를 보여주는 결과들을 제공한다; 및
도 4는 예시적인 프로세스 플로우를 도시한다.
본 실시예들은 이제 일부 실시예들이 도시된 첨부 도면들을 참조하여 이후에 보다 상세하게 설명될 것 이다. 본 개시의 내용은 상이한 많은 형태들로 구현될 수도 있으며, 본 명세서에서 설명되는 실시예들에 한정되는 것으로 이해되지 않는다. 이러한 실시예들은 본 개시가 철저하고 그리고 완벽하도록 하기 위해, 그리고 당업자들에게 본 주제의 범위를 충분히 전달되도록 하기 위해 제공된다. 도면들에서, 동일한 번호들은 도면 전체에서 동일한 엘리먼트들을 나타낸다.
본 제공 실시예들은 기판들을 처리하기 위한 새로운 장치 및 새로운 기술들, 보다 상세하게는 표면 피처 에칭과 같이 기판 표면을 처리하기 위한 새로운 장치 및 기술들을 제공한다. 본 출원에서 사용되는 용어 "기판(substrate)"은 엔티티 예컨대 반도체 웨이퍼, 절연 웨이퍼, 세라믹, 뿐만 아니라 그 위에 배치된 임의의 층들 또는 구조들을 지칭할 수 있다. 이와 같이, 표면 피처, 층, 층들의 시리즈, 또는 다른 엔티티가 기판상에 배치되는 것으로 생각될 수 있고, 여기서 기판은 구조들, 예컨대 실리콘 웨이퍼, 옥사이드(oxide) 층, 금속 층, 및 등등의 조합을 나타낼 수 있다.
다양한 실시예들에서, 기판의 이온 빔 (또는 "플라즈마 빔(plasma beam)") 처리 뿐만 아니라 기판의 반응 가스(reactive gas) 처리를 제공하는 장치가 개시된다. 이온 빔 및 반응 가스는 처리되고 있는 기판, 예컨대 에칭되고 있는 기판의 표면으로 사용지점에서의 반응성 화학 작용을 전달하는 구성 및 방식으로 제공될 수 있다.
도 1a은 본 개시의 실시예들에 따른 시스템(100)을 도시한다. 시스템 (100)은 장치 (102)로서 단면으로 도시된 하이브리드 프로세싱 장치를 포함한다. 도 1b은 장치 (102)의 실시예의 사시도를 포함하는 시스템 (100)의 일 실시예를 도시한다. 도 1c는 도 1b의 장치 (102)의 실시예의 평면도를 도시하고, 한편 도 1d는 다양한 실시예들에 따른 기판 및 장치 (102)의 기하학적 구조의 세부사항들을 도시한다. 도 1a에 상세된 대로, 시스템 (100)은 플라즈마 (106)를 하우징하는 플라즈마 챔버 (104)를 포함할 수 있다. 일부 실시예에서, 플라즈마 챔버 (104)는 1 mTorr 와 300 mTorr 사이의 압력들에서 동작될 수 있다. 실시예들은 이 상황에 제한되지 않는다. 도 1a에 도시된 바와 같이, 플라즈마 챔버 (104)는 10-5 Torr 아래의 더 낮은 압력이 로터리 펌프(rotary pump)들에 의해 보조되는 알려진 펌핑 장치, 예컨대 터보분자 드래그 펌프(turbomolecular drag pump)들로 구성된 진공 펌핑 시스템에 의해 유지될 수 있는 프로세싱 챔버 (101)에 인접하여 배치된다. 가스 상태의 플라즈마 전구체(precursor)는 가스 매니폴드(gas manifold) (122)로부터 플라즈마 가스 라인 (125)을 통하여 플라즈마 챔버 (104)로 공급될 수 있다. 다양한 실시예들에서, 불활성 가스들 (He, Ne Ar, Kr, Xe) 또는 반응 가스들 예컨대 H2, NF3, Cl2, Br2 CxFy 등)과 불활성 가스들의 혼합물들이 플라즈마 전구체들로서 사용될 수 있고, 여기서 플라즈마 챔버 (104)내에 반응 가스 이온들에 추가하여 불활성 가스 이온들이 형성될 수 있다. 플라즈마 (106)는 적절한 플라즈마 여진기(exciter)를 통하여 파워 제너레이터 (108)로부터 플라즈마 챔버 (104)내 희박한(rarefied) 가스로 전기 전력을 커플링함으로써 생성될 수 있다. 이하에서 포괄적인 용어 "플라즈마 소스(plasma source)"는 파워 제너레이터, 플라즈마 여진기(plasma exciter), 플라즈마 챔버, 및 플라즈마 그 자체를 포함한다. 플라즈마 소스는 유도성으로-결합 플라즈마 (ICP :inductively-coupled plasma) 소스, 토로이드 결합 플라즈마 소스 (TCP : toroidal coupled plasma), 용량성 결합 플라즈마 (CCP :capacitively coupled plasma) 소스, 헬리콘 소스, 전자 싸이클로트론 공진 (ECR : electron cyclotron resonance) 소스, 간접적으로 가열된 캐소드 (IHC :indirectly heated cathode) 소스, 글로우(glow) 방전 소스, 또는 당해 기술분야의 통상의 기술자들에 알려진 다른 플라즈마 소스들일 수 있다. 따라서, 플라즈마 소스의 성질에 의존하여 파워 제너레이터 (108)는 rf 제너레이터, dc 파워 서플라이, 또는 마이크로파 제너레이터일 수 있고, 한편 플라즈마 여진기는 rf 안테나, 페라이트(ferrite) 커플러, 플레이트들, 가열/냉각 캐소드들, 헬리콘 안테나, 또는 마이크로파 론처(launcher)들을 포함할 수 있다. 시스템 (100)은 플라즈마 챔버 (104)에 또는 기판 홀더 (118)에 연결된 바이어스 파워 서플라이 (110)를 더 포함한다. 추출 플레이트 (112)를 통한 양의(positive) 이온들을 포함하는 플라즈마 빔 (114)의 추출은 플라즈마 챔버 (104)를 양의 포텐셜(potential)로 상승시키고 기판 홀더 (118)를 접지시킴으로써 또는 플라즈마 챔버 (104)를 접지시키고 기판 홀더 (118)에 음의(negative) 포텐셜을 인가함으로써 성취될 수 있다. 바이어스 파워 서플라이 (110)는 dc 모드 또는 가변적 듀티 사이클(duty cycle)을 갖는 펄스 모드, 또는 AC 모드에서 동작할 수 있다. 추출 플레이트 (112)는 이온 각도 분포(angular distribution), 즉, 이하에서 상세하게 설명될 기판 (116)에 대한 플라즈마 빔 (114)의 입사각 및 확산 각도(angular spread)의 제어를 허용하는 방식으로 플라즈마 빔 (114)내 이온들을 추출하도록 알려진 디자인에 따라 전체적으로 배열될 수 있다.
장치 (102)는 가스 출구(outlet) 어셈블리 (120)로서 도시된 반응 가스 출구 어셈블리를 또한 포함하고, 여기서 가스 출구 어셈블리 (120)는 기판 (116)에 반응 가스 (128)를 전달하는 것이 가능하다. 가스 출구 어셈블리 (120)는 가스 매니폴드 (122)에 결합되고, 복수의 가스 출구들을 포함할 수 있다. 가스 매니폴드 (122)는 상기에서 논의된 바와 같이 플라즈마 (106)를 위한 가스 전구체에 추가하여 액체 또는 가스 상태의 형태에 반응 가스 (128)의 소스를 포함할 수 있다. 일부 실시예들에서 가스 매니폴드 (122)는 하나 초과의 반응 가스의 소스, 및 하나 초과의 플라즈마 가스 상태의 전구체의 소스를 포함할 수 있고 여기서 상이한 소스들은 상이한 가스들을 함유한다. 가스 매니폴드 (122)는 하나의 가스 라인을 통하여 또는 복수의 가스 라인들을 통하여 가스 출구 어셈블리 (120)에 결합될 수 있다. 도면들 1a 및 1b의 예에서, 반응 가스 소스 (122)는 가스 라인 (124) 및 가스 라인 (126)에 결합되고, 여기서 상이한 가스 라인들은 장치 (102)의 상이한 부분들에서 가스 출구 어셈블리 (120)로 진입한다.
시스템(100)은 제어 시스템(132)을 더 포함한다. 제어 시스템 (132)은 바이어스 파워 서플라이 (110), 플라즈마 파워 서플라이 (108), 및 가스 매니폴드 (122)를 포함하는 시스템 (100)의 다양한 컴포넌트들에 결합될 수 있다. 제어 시스템 (132)은 시스템 (100)의 적어도 하나의 시스템 파라미터를 변화시키도록 배열될 수 있다. 시스템 파라미터의 예들은 플라즈마 챔버 (104)에 인가된 RF 파워의 레벨, RF 파형, 바이어스 파워 서플라이 (110)에 의해 인가된 이온 빔의 추출 전압, 펄스화된 바이어스 전압의 듀티 사이클 및 주파수, 또는 기판 (116)과 추출 플레이트 (112) 사이의 Z-축을 따라서의 간격을 의미하는 기판 (116)과 추출 플레이트 (112) 사이의 z 간격(spacing)을 포함한다. 추출 플레이트 (112)의 구성은 시스템 파라미터의 다른 예제이고 추출 플레이트내 개구들의 형상 또는 사이즈, 및 등등을 포함할 수 있다. 이들 시스템 파라미터들 중 적어도 하나는 제 1 값으로부터 제 2 값으로 변화될 수 있고, 플라즈마 빔 (114)은 제 1 값에서 제 1 형상(shape)를 갖고 제 2 값에서 제 2 형상을 갖는다. 이런 식으로 플라즈마 빔 (114)의 파라미터들 예컨대 기판상에 입사각, 확산 각도 (입사각의 범위들), 및 등등이 제어될 수 있다. 이것은 플라즈마 빔 (114)이 애플리케이션에 따라 기판으로 보내지는 것(direct)을 허용한다. 예를 들어, 기판 (116)이 패터닝된 피처들 (도 1a에 도시되지 않은)을 포함할 때, 패터닝된 피처의 수직 표면들은 플라즈마 빔 (114)을 제 1 입사각에서 보냄으로써 더 잘 처리될 수 있지만, 반면 수평 표면들은 플라즈마 빔 (114)을 제 2 입사각에서 보냄으로써 더 잘 처리될 수 있다.
이제 도 1b로 가서, 특별히 가스 출구 어셈블리 (120)의 일 실시예가 도시되고 여기서 복수의 가스 오리피스(orifice)들 (142)이 제공되고, 추출 플레이트 (112)의 추출 개구 (140)의 최상부(top) 및 바닥(bottom) 측면들을 따라서 연장된다. 반응 가스 (128)는 플라즈마 챔버 (104)를 통과하지 않는 동안에 가스 매니폴드 (122)로부터 가스 출구 어셈블리 (120)로 채널화(channel)되기 때문에, 다양한 실시예들에서 반응 가스 (128)는 이온화되지 않고, 또는 그렇지 않으면, 여기되지(excited) 않고서 기판 (116)상에 부딪치도록 보내질 수 있다. 이런 식으로 중성(neutral) 반응 가스가 기판에 제공될 수 있다. 추가하여, 반응 가스는 기판상에 부딪칠 때 비-해리된(dissociated) 상태 (또는 손상되지 않는 상태(intact state))로 잔존할 수 있다. 반응 가스가 기판에 직접 제공될 수 있는 그런 "사용지점에서의 화학 작용(point of use chemistry)"를 제공하는 능력은 일부 경우들에서 이온화되지 않은, 비-해리된, 및 여기되지 않은 가스 종이 기판에 컨택(contact)하는 것을 허용한다. 다른 경우들에서, 기판 (116)에 근접한 가스 압력, 가스 유량(flow rate)과 같은 조건들 또는 다른 요인들이 플라즈마 빔 (114)과 상호작용을 증가시키도록 조절된 때 해리(dissociation), 라디칼 생성(radical generation), 여기(excitation), 또는 이온화(ionization)가 일어날 수 있다.
플라즈마 빔 (114)은 기판 (116)과 플라즈마 (106) 사이에 수립된 전압 차이 및 추출 개구 (140)의 사이즈에 따라 공간적으로 제한될 수 있지만, 반응 가스 (128)는 가스 출구 어셈블리 (120)를 빠져 나간 후에 쫙 퍼질 수 있다. 이하에서 상세하게 설명되는 바와 같이, 플라즈마 빔 (114) 및 반응 가스 (128)의 이온들이 기판 (116)상에서 중첩하는 영역들에서, 기판 (116)의 에칭이 일어날 수 있다.
다양한 실시예들에서, 도 1b 및 도 1c 에 예시된 바와 같이, 추출 개구 (140)는 길게된 형상(elongated shape)을 가질 수 있다. 예를 들어, 도 1c에 도시된 바와 같이, 추출 개구 (140)는 도시된 직교 좌표계에 X-축에 평행한 제 1 방향을 따라서 개구 폭 W을 가질 수 있다. 추출 개구 (140)는 제 1 방향에 수직인 제 2 방향을 따라서, 다시 말해서, Y-축을 따라서 개구 길이를 가질 수 있고, 개구 폭 W는 개구 길이 L 보다 더 크다. 이것은 플라즈마 빔 (114)을 리본 빔으로 생성할 수 있다. 일부 예들에서 W는 150 mm 내지 300 mm 의 범위에 또는 더 큰 값을 가질 수 있고, 한편 L은 3 mm 내지 30 mm의 값을 갖는다.
기판 (116) 그 전체를 처리하기 위해서, 기판 홀더 (118)는 예를 들어 Y-축에 평행한 방향 (117)을 따라서 기판을 스캐닝 할 수 있다. 또한 도 1d로 가서, 다양한 실시예들에서, 플라즈마 빔 (114)은 평행, 수렴(convergent), 또는 발산(divergent)할 수 있고, 30 도 또는 더 큰 것과 같은 광범위한 각도 분포들 (평균 입사각 주변에 광범위한 입사각에 걸쳐 분배된 궤적들)을 갖는 이온들을 제공할 수 있거나, 또는 이온들은 5 도 보다 작은 것과 같은 좁은 각도 분포 (입사각의 좁은 범위에 걸쳐 분배된 궤적들)을 가질 수 있다. 이온들은 기판 (116)의 평면(152)에 수직 (150)에 대하여 θ로서 도시된 논-제로 입사각을 형성할 수 있고, 여기서 평면 (152)은 X-Y 평면에 평행하게 놓여질 수 있다. 입사각의 분포는 상이한 실시예들에서 모노모달 분포(monomodal distribution) 또는 바이모달(bimodal distribution) 분포에 의해 특징지어질 수 있다. 도 1d에 도시된 바와 같이 플라즈마 빔 (114)에 대한 입사각의 소정 분포는 플라즈마 (106)의 플라즈마 시스 경계 (154)의 형상에 의해 결정될 수 있다. 특별히, 플라즈마 빔 (114) 중에 어떤 이온들이 추출되는 추출 개구 (140)에 메니스커스(meniscus) (156)가 형성될 수 있다. 메니스커스 (156)의 형상은 파라미터들 예컨대 플라즈마 밀도 (예를 들어, 플라즈마 챔버내 RF 파워 및/또는 가스 압력) 플라즈마 챔버 (104)와 기판 (116) 사이에 인가되는 추출 전압, 또는 추출 플레이트-기판 홀더 갭 길이를 변화시킴으로써 조절될 수 있다. 일부 실시예들에서, 빔 차단기(beam blocker) (미도시)가 추출 개구 (140)를 통하여 추출되는 두개의 별개의 플라즈마 빔들을 정의하기 위해 추출 개구 (140)에 인접하게 플라즈마 챔버 (104)내에 제공될 수 있다. 상기의 방법으로 플라즈마 빔 (114)의 이온들의 입사각도(들)이 제어될 수 있다.
도 2a 내지 도 2c는 본 개시의 실시예들에 따른 사용지점에서의 반응 화학작용과 함께 플라즈마 빔을 이용한 기판 에칭의 예를 도시한다. 도 2a에서 베이스 층 (204), 및 금속 층 (206)을 포함하는 디바이스 구조 (202)가 도시된다. 베이스 층 (204)은 반도체 웨이퍼, 또는 자기 스토리지 기판들, 뿐만 아니라 다른 층들을 포함하는 하나 초과의 층을 나타낼 수 있다. 다양한 실시예들에서 금속 층 (206)은 반응성 이온 에칭 프로세스들에 의한 에칭에 강한(resistant) 금속성 재료 또는 금속 재료의 혼합물일 수 있다. 이런 금속들의 예들은 Cu, Ta, Pt, Ru, 및 다른 비-휘발성 금속들을 포함한다. 일부 실시예들에서 금속들 예컨대 Pt, Ru, 및 Ta의 조합이 메모리 디바이스 예컨대 자기 랜덤 액세스 메모리 (MRAM)를 형성하기 위해 사용되는 층들의 스택으로 사용될 수 있다.
금속 층 (206)을 에칭하기 위해, 금속 층 (206)의 일부를 함유하는 피처를 형성하기 위해, 마스크 피처(mask feature)가 제공될 수 있다. 일 예에서, 스택 (208)은 하드 마스크(hard mask)와 같이 나중에 제거될 마스크 층일 수 있다. 다른 예들에서, 스택 (208)은 최종 디바이스의 부분으로서 잔존할 디바이스 층들을 포함하는 다수의 층들을 포함할 수 있다. 이들 상이한 예들에서, 스택 (208)은 노출된 영역(exposed region) (206a) 및 보호된 영역(protected region) (206b)을 정의하는 마스크로서 역할을 한다. 도면들 2a에 도시된 접근법에서, 금속 층 (206)을 에칭하기 위해 플라즈마 빔과 함께 반응 가스 (210)가 사용될 수 있다. 일부 실시예들에서 반응 가스 (210)는 가스 상태의 소스로부터 제공될 수 있고 한편 다른 실시예들에서 반응 가스 (210)는 액체 소스로부터 제공될 수 있다. 도 2a에 도시되지 않았지만, 반응 가스 (210)와 함께 이온들이 기판 (200)에 동시에 제공될 수 있다. 일부 실시예들에서, 기판 (200)에 이온들을 보내는 플라즈마 빔과 함께 반응 가스가 장치 예컨대 장치 (102)내 기판 (200)에 제공될 수 있다.
다양한 실시예들에서, 반응 가스 (210)가 기판 (200)의 표면에 제공될 수 있고, 반응 가스 (210)는 기판 (200)에 의해 제공되는 표면들 위에 흡수된 층 또는 층들을 형성하기 위해 응결(condense)하는 흡수 종(absorbing species)이다. 도 2a에 예시된 바와 같이, 반응 가스는 이 예에서 등도포성의 흡수된 코팅인 코팅 (216)으로서 도시된 등도포성(conformal)의 코팅을 형성할 수 있다. 특별히, 코팅 (216)은 금속 층 (206)의 표면 (220)상에, 뿐만 아니라 스택 (208)의 측벽 (218) 상에 배치된다. 일부 실시예들에서, 반응 가스 (210)는 유기 분자를 포함할 수 있고, 특정 실시예들에서, 반응 가스 (210)는 극성(polar) 분자를 포함할 수 있다. 반응 가스 (210)를 위해 적절한 분자들의 예들은 메탄올 (CH3-OH), 에탄올 (CH3-CH2-OH), 이소프로판올 (CH3-CHOH-CH3), 디메틸에테르 (CH3-O-CH3), 또는 아세톤 (CH3-CO-CH3), 또는 다른 반응물들을 포함한다. 실시예들은 이러한 상황에 제한되지 않는다. 일부 경우들에서 반응 가스 (210)는 기판 표면들 위에 균일하게 증착될 수 있고 코팅 (216)을 형성하기 위해 균일한 방식으로 3 차원 구조들을 코팅할 수 있다.
이제 도 2b로 가서 금속 층 (206) 에칭을 위한 추가 시나리오가 도시된다. 이 예에서, 양의 이온으로 도시된 이온 (212)가 기판 (200)으로 보내진다. 이온 (212)은 예를 들어, 도 1a에 도시된 바와 같이 플라즈마 빔에 보내진 복수의 이온들을 나타낼 수 있다. 이온 (212)은 도시된 바와 같이 수직 (150)에 대하여 논-제로 입사각을 형성할 수 있다. 다시 말해서, 이온 (212)의 궤적은 측벽 (218)에 평행하지 않을 수 있고, 이온 (212), 또는 유사한 궤적들을 갖는 이온들의 그룹이, 측벽 (212) 뿐만 아니라 표면 (220) 위에 부딪칠 수 있다. 도 2b의 시나리오에서, 이온 (212)은 코팅 (216)을 통과하여 관통할 수 있고, 금속 층 (206)에 부딪칠 수 있고, 금속 종 (214) 예컨대 금속 원자가 금속 층 (206)으로부터 스퍼터-배출(sputter-ejected) (스퍼터 에칭된(sputter etched))하게 한다. 이 프로세스는 반복적으로 일어날 수 있고, 금속이 노출된 영역 (206a)으로부터 에칭되게 한다. 도 2b에 추가 도시된 대로, 스퍼터링된 금속, 예컨대 금속 종 (214)은, 금속 층 (206)의 근처에 또는 코팅 (216)내 금속 층 (206) 위에 흡수된 반응 가스를 포함하는 반응 가스 (210)와 반응할 수 있다. 이런 식으로 반응 가스 (210) 및 금속 종 (214)으로부터의 재료를 함유하는 휘발성 에칭 산물 (224)이 생성될 수 있다. 휘발성 에칭 산물 (224)은 도시된 바와 같이 가스 상(gas phase)으로 진입할 수 있고, 기판 (200)으로부터 멀리 이송될 수 있다.
스퍼터링된 금속 종이 측벽 (218)과 같이 원치 않는 면적들에 재증착하는 것을 방지하기 위해, 코팅 (216)은 반응 가스 (210)의 종을 응결시킴으로써 보충될 수 있다. 반응 가스는 에칭된 종, 예컨대 금속 원자들 또는 휘발성 에칭 산물 (224)의 재배치(redisposition)을 위해 유리하지 않은 표면을 제공하는 서브-나노미터 두께의 코팅을 형성할 수 있다. 따라서, 금속 층 (206)은 스퍼터링 및 반응 가스 (210)과의 반응의 조합에 의해 제거될 수 있고, 여기서 반응 가스는 금속 층 (206)의 표면 위에 흡수된 코팅(absorbed coating)으로 제공된다. 게다가, 반응 가스 (210)는 코팅 (216)에 응결될 수 있고, 여기서 코팅 (216)은 에칭된 금속-함유 재료의 재배치를 방지한다.
이제 도 2c로 가서 도 2a 및 2b의 프로세스들이 노출된 영역 (206a)에 금속 층 (206)을 에칭한 후에 나중 인스턴스를 도시한다. 이 예에서, 노출된 영역 (206a)은 완전히 제거되어, 금속 층 (206)의 패터닝된 피처 (206c)를 생성한다. 도시된 바와 같이, 패터닝된 피처 (206c), 뿐만 아니라 스택 (208)은, 측벽 (218)이 Z-축에 평행하게 연장되는 것을 의미하는 측벽 (218)에 대한 수직 측벽을 가질 수 있다. 추가하여, 측벽 (218)은 재증착된 에칭 산물이 없을 수 있고, 여기서 에칭 산물(etch product)은 금속 층 (206)으로부터 제거된 휘발성 에칭 산물 (224) 또는 다른 재료일 수 있다. 에칭 산물이 없는 수직 측벽의 생성은 에칭 동안에 제공된 이온들, 예컨대 이온 (212)의 지향성(directionality) 뿐만 아니라 코팅 (216)의 형성의 조합으로부터 기인할 수 있다. 수직 (150)에 대하여 논-제로 각도에서 이온들을 제공함으로써, 여기서 논-제로 각도는 제어 시스템 (132)에 의해 조정될 수 있고, 예를 들어, 스택 (208)의 수직 측벽 프로파일, 뿐만 아니라 패터닝된 피처 (206c)가 유지되는 것을 보장하기 위해 이온들은 적절한 입사각에서 적절한 표면들로 보내질 수 있다.
일부 실시예들에서 도면들 2a 내지 2c에 도시된 프로세스는 다수의 비-휘발성 금속 층들을 함유하는 층 스택(layer stack)을 에칭하기 위해 여러 번 수행될 수 있다. 예를 들어, MRAM 메모리 셀내 층 스택은 다수의 자기 층들, MgO 층, 뿐만 아니라 다수의 컨택 층(contact layer)들을 포함하는 다수의 층들을 포함할 수 있고, 여기서 컨택 층들은 Ta, Pt, Fe, Co, Ru, Ti, 또는 다른 비-휘발성 금속으로 구성될 수 있다.
플라즈마 빔 각도를 튜닝, 이글 앵글링(eagle angling)을 포함하는 하나 초과의 에칭 메커니즘, 다수의 층들이 이 방법으로 에칭된다. 따라서, 도면들 2a 내지 2c의 프로세스는 다수의 컨택 층들을 에칭하는데 사용될 수 있다. 도 2d는 본 발명의 실시예들에 따른 사용지점에서의 반응성 화학 작용을 이용한 에칭 후에 획득된 예제 디바이스의 구조 (240)를 예시한다. 디바이스 구조 (240)는 필라 구조들 (242)로서 도시된 복수의 필라 구조들을 포함하고, 여기서 필라 구조들은 층 스택 (234)을 에칭함으로써 형성된다. 층 스택(layer stack) (234) 내에 층 (232) 및 층 (236)을 포함하는 다수의 층들이 도시되고, 여기서 층 (232) 뿐만 아니라 층 (236)은 비-휘발성 금속들이다. 층 (232) 및 층 (236)은 도면들 2a 내지 2c에 도시된 처리를 따를 수 있어서 에칭은 측벽들 (238) 상에 재증착을 회피하면서 발생한다. 따라서 필라 구조들 (242)은 측벽들이 Z-축에 평행하게 있는 것을 의미하는 수직 프로파일들을 가질 수 있다. 추가적으로, 측벽들 (218)은 층 (232) 및 층 (236)이 에칭될 때 형성된 재증착된 금속이 없을 수 있다.
일부 실시예들에서, 층 (232) 및 층 (236)의 에칭은 장치 예컨대 장치 (102)에 의해 수행될 수 있다. 필라 구조들 (242)이 메모리 디바이스 부분일 수 있는 예제들에서, 메모리 디바이스는 메모리 디바이스를 형성하는 필라들의 어레이를 갖는 많은 다이(die)를 포함하는 실리콘 웨이퍼 또는 다른 기판에 형성될 수 있다. 도면들 2a 내지 2c에 도시된 에칭은 추출 개구 (140)에 대하여 기판을 스캐닝함으로써 소정의 기판 예컨대 실리콘 웨이퍼를 가로질러 수행될 수 있다. 일부 실시예들에서, 에칭은 소정의 층 또는 층들의 스택의 에칭을 완료하기 위해서 복수의 스캔들에 걸쳐 기판을 왔다 갔다 스캐닝함으로써 수행될 수 있다. 특정 실시예들에서, 반응 가스가 전체 에칭 프로세스 동안에 기판에 제공될 수 있고 동시에 이온들이 기판으로 보내진다. 다른 실시예들에서, 반응 가스는 간헐적으로, 예컨대 기판의 10 스캔 중에 한 번 스캔 동안에 인가될 수 있다.
일부 실시예들에서 기판 예컨대 기판 (116)의 기판 온도는 에칭 동안에 반응 가스의 응결된 층에 의해 기판 구조들의 커버리지(coverage)가 유지되는 것을 보장하기 위한 타겟 온도 범위내에서 유지될 수 있다. 이 타겟 온도 범위는 예를 들어 반응 가스를 위하여 사용되고 있는 분자에 따라 조정될 수 있다. 다양한 실시예들에서, 타겟 온도 범위는 - 60℃ 내지 +400℃에 걸쳐질 수 있다.
도 3a 및 도 3b는 필라의 디바이스의 결과 구조상의 에칭 동안에 사용지점에서의 화학 작용을 채용한 효과를 보여주는 결과를 제공한다. 도 3a에 비-휘발성 금속 층을 포함하는 스택을 에칭함으로써 형성된 필라 구조 (304)의 전자 현미경 사진이 도시되고, 여기서 비-휘발성 금속 층은 아르곤 이온들을 이용하여 에칭된다. 필라 구조 (304)는 중심 부분 (306), 10 nm의 폭을 갖는 측벽 (310)상에 측벽 증착물(sidewall deposit) (312)을 포함한다. 도 3b에 비-휘발성 금속 층을 포함하는 스택을 에칭함으로써 형성된 필라 구조 (314)의 전자 현미경 사진이 도시되고, 여기서 비-휘발성 금속 층은 에칭 동안에 아르곤 이온들에 추가하여 메탄올 가스를 제공함으로써 에칭된다. 필라 구조 (314)는 추가하여 중심 부분 (306)을 포함하고, 측벽 (310)상에 측벽 증착물을 포함하지 않는다. 따라서, 측벽들을 따라서의 재료의 재증착은 이 예에서 경사진 아르곤 이온 빔들과 함께 에칭하는 동안에 기판에 직접 메탄올을 제공함으로써 제거된다. 특히, 재증착은 다른 요인들 중에서도 기판 온도, 기판에 제공되는 메탄올의 양, 이온들의 입사각을 포함하는 요인들을 조정함으로써 제어될 수 있다. 일부 실험들에서, 130 nm 만큼 작은 피치(pitch)를 갖는 MRAM 어레이들은 전기 및 자기 측정량에 종속된다. 에칭 혼합물 예컨대 메탄올 및 아르곤 이온 혼합물을 이용하는 본 실시예들에 따라 프로세스될 때, 여기서 메탄올은 기판에 인가되지만 그러나 플라즈마 챔버를 지나가지는 않는다, 어레이들은 98-100% 수율(yield)을 보였다. 이 수율은 측벽 금속 재증착에 기인한 수율 손실이 거의 또는 전혀 없는 것을 나타낸다.
앞서 언급한 실시예들은 기판에 비-해리된 가스 및 또한 여기되지 않은 반응 가스의 전달을 강조했지만, 일부 실시예들에서 반응 가스 분자들의 단편(fraction)은 기판 위에 부딪치기 전에 여기되거나, 또는 이온화되거나, 또는 부분적으로 또는 완전히 해리될 수 있다. 이런 종의 단편은 측벽들상에 에칭 산물들의 재증착을 방지하기 위해서 응결된 가스 종의 층 또는 코팅을 유지함과 동시에 반응도(reactivity)를 촉진시키기 위해 조정될 수 있다.
도 4는 예시적인 프로세스 플로우(400)를 도시한다. 블럭 (402)에서, 플라즈마로부터 플라즈마 빔을 추출하는 동작이 수행되고, 플라즈마 빔은 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들을 포함한다. 일부 실시예들에서, 플라즈마 빔은 제 1 방향을 따라서의 개구 폭 및 제 1 방향에 수직인 제 2 방향을 따라서의 개구 길이를 갖는 추출 개구를 통하여 추출될 수 있고, 개구 폭은 개구 길이보다 더 크고, 플라즈마 빔은 리본 빔(ribbon beam)이다.
블럭 (404)에서, 가스 소스로부터 기판으로 반응 가스를 보내는 동작이 수행되고, 반응 가스는 플라즈마를 통과하지 않는다. 일부 예들에서, 반응 가스는 반응 가스를 기판에 제공하는 가스 출구 시스템을 통하여 제공될 수 있고, 여기서 반응 가스는 기판 위에 부딪칠 때 중성 상태(neutral state) 및 분해되지 않은 상태(undecomposed)로 잔존한다.
본 실시예들은 기판에 피처들을 정의하기 위한 통상의 프로세싱에 비하여 다양한 장점들을 제공한다. 한가지 장점은 패터닝된 피처들상에 에칭된 재료의 재증착을 회피하거나 또는 줄임과 동시에 패터닝된 피처들을 형성하기 위해 비-휘발성 금속들의 에칭을 수행하는 능력에 있다. 다른 장점은 에칭 동안에 스퍼터링 이온들 및 반응 가스의 독립적인 튜닝(tuning)을 제공하기 위해서 기판에 스퍼터링 이온들 및 반응 가스를 독립적으로 전달하는 능력이다.
본 발명은 본 명세서에 기술된 특정 실시예에 의해 그 범위가 제한되지 않는다. 오히려, 본 명세서에 기술된 이러한 실시예들에 더하여, 본 발명의 다른 다양한 실시예들 및 이에 대한 변형들이 당업자들에게 전술한 설명 및 첨부된 도면들로부터 명백해질 것이다. 그러므로, 그러한 다른 실시예들 및 변형예들은 본 발명의 개시의 범위 내에 해당되도록 의도된다. 또한, 본 발명이 본 명세서에서 특정 목적을 위한 특정 환경에서의 특정 구현의 맥락에서 기술되었으나, 당업자들은 본 발명의 유용성이 그에 한정되지 한고, 본 발명이 임의의 수의 목적들을 위한 임의의 수의 환경들 내에서 유익하게 구현될 수 있다는 것을 인식할 것이다. 따라서, 이하에 개시되는 청구항들은 본 출원에서 설명되는 본 발명의 전체 효과와 취지에서 해석되어야 한다.

Claims (15)

  1. 기판을 처리하기 위한 장치에 있어서,
    플라즈마 챔버로부터 플라즈마 빔을 추출하고, 상기 플라즈마 빔을 상기 기판으로 보내는 추출 플레이트(extraction plate)로서, 상기 플라즈마 빔은 상기 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들을 포함하는, 상기 추출 플레이트; 및
    상기 플라즈마 챔버 외측에 배치된 가스 출구 시스템(gas outlet system)으로서, 상기 가스 출구 시스템은 가스 소스에 결합되고 그리고 상기 가스 소스로부터 수신된 반응 가스(reactive gas)를 상기 기판으로 전달하도록 배열되고, 상기 반응 가스는 상기 플라즈마 챔버를 통과하지 않는, 상기 가스 출구 시스템을 포함하는, 장치.
  2. 청구항 1에 있어서, 상기 가스 출구 시스템은 상기 플라즈마 빔과 나란히(alongside) 상기 기판으로 상기 반응 가스를 전달하도록 배열된, 장치.
  3. 청구항 1에 있어서, 상기 추출 플레이트는 제 1 방향을 따라서의 개구 폭 및 상기 제 1 방향에 수직인 제 2 방향을 따라서의 개구 길이를 갖는 추출 개구를 포함하고, 상기 개구 폭은 상기 개구 길이보다 더 크고, 상기 플라즈마 빔은 리본 빔(ribbon beam)이고 그리고 상기 가스 출구 시스템은 복수의 가스 오리피스(gas orifice)들을 포함하고, 상기 복수의 가스 오리피스들은 상기 제 1 방향을 따라서의 상기 추출 개구의 측면을 따라서 배열되는, 장치.
  4. 청구항 1에 있어서, 상기 반응 가스는 비-해리된(non-dissociated) 가스를 포함하는, 장치.
  5. 청구항 1에 있어서, 상기 반응 가스는 메탄올, 에탄올, 아세톤, 또는 다른 극성(polar) 분자를 포함하는, 장치.
  6. 청구항 1에 있어서, 상기 반응 가스는 기판 구조 위에 흡수된 코팅을 형성하는 흡수 종(absorbing species)을 포함하는, 장치.
  7. 청구항 1에 있어서, 상기 가스 출구 시스템은 제 1 셋의 출구들 및 제 2 셋의 출구들을 포함하고, 상기 가스 소스는 상기 제 1 셋의 출구들에 결합되고, 상기 장치는 제 2 가스 소스를 더 포함하고, 상기 제 2 가스 소스는 상기 제 2 셋의 출구들에 결합되고 상기 기판에 제 2 반응 가스를 전달하도록 구성된, 장치.
  8. 기판을 처리하기 위한 시스템에 있어서,
    플라즈마를 하우징하는 플라즈마 챔버;
    상기 플라즈마 챔버로부터 플라즈마 빔을 추출하고, 상기 플라즈마 빔을 상기 기판으로 보내는 추출 플레이트(extraction plate)로서, 상기 플라즈마 빔은 상기 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들을 포함하는, 상기 추출 플레이트; 및
    상기 플라즈마 챔버 외측에 배치된 가스 출구 시스템(gas outlet system)으로서, 상기 가스 출구 시스템은 가스 소스에 결합되고 그리고 상기 가스 소스로부터 수신된 반응 가스(reactive gas)를 상기 기판으로 전달하도록 배열되고, 상기 반응 가스는 상기 플라즈마 챔버를 통과하지 않는, 상기 가스 출구 시스템을 포함하는, 시스템.
  9. 청구항 8에 있어서, 상기 추출 플레이트는 제 1 방향을 따라서의 개구 폭 및 상기 제 1 방향에 수직인 제 2 방향을 따라서의 개구 길이를 갖는 추출 개구를 포함하고, 상기 개구 폭은 상기 개구 길이보다 더 크고, 상기 플라즈마 빔은 리본 빔(ribbon beam)이고 그리고 상기 시스템은 상기 제 2 방향을 따라서 상기 기판을 스캔하도록 구성된 기판 홀더를 더 포함하는, 시스템.
  10. 청구항 9에 있어서, 상기 가스 출구 시스템은 복수의 가스 오리피스들을 포함하고, 상기 복수의 가스 오리피스들은 상기 제 1 방향을 따라서의 상기 추출 개구의 측면을 따라서 배열되는, 시스템.
  11. 청구항 8에 있어서, 제 1 값으로부터 제 2 값으로 적어도 하나의 시스템 파라미터를 변화시키는 제어 시스템을 더 포함하고, 상기 플라즈마 빔은 상기 제 1 값에서 제 1 형상 및 상기 제 2 값에서 제 2 형상을 갖고, 상기 적어도 하나의 시스템 파라미터는 : 상기 플라즈마 챔버에 인가되는 RF 파워의 레벨, RF 파형, 상기 플라즈마 빔의 추출 전압, 추출 플레이트의 구성, 또는 기판과 추출 플레이트 사이의 간격을 포함하는, 시스템.
  12. 청구항 8에 있어서, 상기 반응 가스는 극성(polar) 분자를 포함하고, 상기 플라즈마 빔은 불활성 가스 이온들을 포함하는, 시스템.
  13. 기판을 처리하는 방법에 있어서,
    플라즈마로부터 플라즈마 빔을 추출하는 단계로서, 상기 플라즈마 빔은 상기 기판의 평면의 수직에 대하여 논-제로 입사각을 형성하는 이온들을 포함하는, 상기 추출하는 단계; 및
    가스 소스로부터 상기 기판으로 반응 가스를 보내는 단계로서, 상기 반응 가스는 상기 플라즈마를 통과하지 않는, 상기 보내는 단계를 포함하는, 방법.
  14. 청구항 13에 있어서, 상기 반응 가스를 보내는 단계는 상기 기판에 극성 분자를 포함하는 가스를 제공하는 단계 및 금속 층 위에 상기 반응 가스로부터 유도된 등도포성의 코팅(conformal coating)을 형성하는 단계를 포함하고, 상기 이온들은 상기 기판 위에 배치된 상기 금속 층으로부터 금속 종을 스퍼터 에칭하는 불활성 가스 이온들이고, 상기 극성 분자는 상기 금속 종과 휘발성 에칭 산물을 형성하는, 방법.
  15. 청구항 14에 있어서, 상기 기판은 측벽을 갖는 적어도 하나의 표면 피처(feature)를 포함하고, 상기 반응 가스 및 플라즈마 빔은 상기 측벽 상에 상기 금속 층으로부터의 재료의 재증착 없이 상기 금속 층을 에칭하는, 방법.
KR1020187004101A 2015-07-24 2016-07-14 지향성 플라즈마 및 사용 지점에서의 화학작용을 이용하여 기판들을 처리하기 위한 장치 및 기술들 KR20180025973A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/808,612 2015-07-24
US14/808,612 US10128082B2 (en) 2015-07-24 2015-07-24 Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
PCT/US2016/042261 WO2017019312A1 (en) 2015-07-24 2016-07-14 Apparatus and techniques to treat substrates using directional plasma and point of use chemistry

Publications (1)

Publication Number Publication Date
KR20180025973A true KR20180025973A (ko) 2018-03-09

Family

ID=57837808

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187004101A KR20180025973A (ko) 2015-07-24 2016-07-14 지향성 플라즈마 및 사용 지점에서의 화학작용을 이용하여 기판들을 처리하기 위한 장치 및 기술들

Country Status (6)

Country Link
US (2) US10128082B2 (ko)
JP (1) JP6827462B2 (ko)
KR (1) KR20180025973A (ko)
CN (1) CN107851576B (ko)
TW (1) TWI702651B (ko)
WO (1) WO2017019312A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10128082B2 (en) * 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10222202B2 (en) * 2017-05-25 2019-03-05 Varian Semiconductor Equipment Associates, Inc. Three dimensional structure fabrication control using novel processing system
US10224183B1 (en) * 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10325752B1 (en) * 2018-03-27 2019-06-18 Varian Semiconductor Equipment Associates, Inc. Performance extraction set
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) * 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
CN111383883B (zh) * 2018-12-27 2021-09-21 中国科学院光电技术研究所 超大面积扫描式反应离子刻蚀机及刻蚀方法
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams
CN116623184A (zh) * 2023-07-19 2023-08-22 西安精谐科技有限责任公司 一种半球谐振子的离子束刻蚀工装及离子束刻蚀修调方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930155A (en) * 1973-01-19 1975-12-30 Hitachi Ltd Ion microprobe analyser
FR2619247A1 (fr) * 1987-08-05 1989-02-10 Realisations Nucleaires Et Implanteur d'ions metalliques
JPH03262120A (ja) * 1990-03-12 1991-11-21 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング方法
US7183219B1 (en) 1998-12-28 2007-02-27 Tokyo Electron At Limited And Japan Science And Technology Corporation Method of plasma processing
KR20000044933A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 금속층 식각 방법
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6407001B1 (en) 2000-06-30 2002-06-18 Intel Corporation Focused ion beam etching of copper
JP3262120B2 (ja) * 2000-09-25 2002-03-04 日立化成工業株式会社 電子部品封止用エポキシ樹脂成形材料及びicパッケージ
JP2003273033A (ja) * 2002-03-14 2003-09-26 Crystage Co Ltd プラズマ反応装置
FR2842388B1 (fr) 2002-07-11 2004-09-24 Cit Alcatel Procede et dispositif pour la gravure de substrat par plasma inductif a tres forte puissance
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
WO2008021501A2 (en) 2006-08-18 2008-02-21 Piero Sferlazzo Apparatus and method for ultra-shallow implantation in a semiconductor device
JP5055011B2 (ja) * 2007-04-23 2012-10-24 株式会社日立ハイテクノロジーズ イオン源
DE102008064781B3 (de) * 2007-04-23 2016-01-07 Hitachi High-Technologies Corporation lonenstrahlbearbeitungs-/Betrachtungsvorrichtung
CN101990686B (zh) * 2008-02-27 2015-11-25 星火工业有限公司 长寿命核反应装置高效靶材的原位沉积和再生方法及系统
EP2342733B1 (fr) * 2008-09-15 2017-11-01 Centre National de la Recherche Scientifique (CNRS) Dispositif de génération d'un faisceau d'ions avec piège cryogénique
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US8101510B2 (en) 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR20110098355A (ko) 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
US8188448B2 (en) * 2010-04-05 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Temperature controlled ion source
US9269546B2 (en) * 2010-10-22 2016-02-23 Applied Materials, Inc. Plasma reactor with electron beam plasma source having a uniform magnetic field
US8779385B2 (en) * 2011-04-18 2014-07-15 Gilda Noori Method and device for ultraviolet light sterilizing
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US10388491B2 (en) * 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US20130250293A1 (en) * 2012-03-20 2013-09-26 Fei Company Method and Apparatus for Actively Monitoring an Inductively-Coupled Plasma Ion Source using an Optical Spectrometer
DE112012005837T5 (de) 2012-03-30 2014-10-30 Hitachi, Ltd. Verfahren zur Herstellung einer Siliziumkarbid-Halbleitervorrichtung
US8497486B1 (en) 2012-10-15 2013-07-30 Varian Semiconductor Equipment Associates, Inc. Ion source having a shutter assembly
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
US9293301B2 (en) 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus
US10128082B2 (en) * 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas

Also Published As

Publication number Publication date
US10600616B2 (en) 2020-03-24
JP2018529224A (ja) 2018-10-04
CN107851576B (zh) 2021-07-06
TWI702651B (zh) 2020-08-21
US20170025252A1 (en) 2017-01-26
WO2017019312A1 (en) 2017-02-02
JP6827462B2 (ja) 2021-02-10
US10128082B2 (en) 2018-11-13
CN107851576A (zh) 2018-03-27
US20190006149A1 (en) 2019-01-03
TW201705277A (zh) 2017-02-01

Similar Documents

Publication Publication Date Title
US10600616B2 (en) Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US10134605B2 (en) Dual chamber plasma etcher with ion accelerator
US10004133B2 (en) Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9257295B2 (en) Ion beam etching system
US9659791B2 (en) Metal removal with reduced surface roughness
CN110634726B (zh) 利用低温晶片温度的离子束蚀刻
CN103748658B (zh) 使用从惰性气体形成的亚稳态体的原子层蚀刻
US7846846B2 (en) Method of preventing etch profile bending and bowing in high aspect ratio openings by treating a polymer formed on the opening sidewalls
TW201511066A (zh) 半導體製造用之內部電漿格柵
JP2018529224A5 (ja) 基板を処理するための装置、システム及び方法
TW201715069A (zh) 用以選擇性地將碳層沉積在基底上的設備與方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal