KR20140066042A - 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자 - Google Patents

내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자 Download PDF

Info

Publication number
KR20140066042A
KR20140066042A KR1020120133248A KR20120133248A KR20140066042A KR 20140066042 A KR20140066042 A KR 20140066042A KR 1020120133248 A KR1020120133248 A KR 1020120133248A KR 20120133248 A KR20120133248 A KR 20120133248A KR 20140066042 A KR20140066042 A KR 20140066042A
Authority
KR
South Korea
Prior art keywords
trench
active region
region
gate electrode
ldd
Prior art date
Application number
KR1020120133248A
Other languages
English (en)
Other versions
KR102059526B1 (ko
Inventor
신동석
이철웅
정회성
김영탁
이내인
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020120133248A priority Critical patent/KR102059526B1/ko
Priority to TW106132301A priority patent/TWI643346B/zh
Priority to TW102133207A priority patent/TWI605592B/zh
Priority to TW102133206A priority patent/TWI608615B/zh
Priority to US14/033,639 priority patent/US9214530B2/en
Priority to US14/033,718 priority patent/US9129952B2/en
Priority to JP2013219213A priority patent/JP6310224B2/ja
Priority to DE102013022620.1A priority patent/DE102013022620B3/de
Priority to DE102013112701.0A priority patent/DE102013112701B4/de
Priority to DE202013012863.1U priority patent/DE202013012863U1/de
Priority to DE202013012865.8U priority patent/DE202013012865U1/de
Priority to CN201310585778.9A priority patent/CN103839890B/zh
Priority to CN201910156871.5A priority patent/CN110060999B/zh
Priority to CN201310585436.7A priority patent/CN103839944B/zh
Publication of KR20140066042A publication Critical patent/KR20140066042A/ko
Priority to US14/680,349 priority patent/US9257520B2/en
Priority to US14/938,172 priority patent/US9397216B2/en
Priority to US14/951,932 priority patent/US9520497B2/en
Priority to US14/955,289 priority patent/US9537009B2/en
Priority to US15/009,276 priority patent/US9548301B2/en
Priority to US15/393,852 priority patent/US9741855B2/en
Priority to US15/406,018 priority patent/US9768300B2/en
Application granted granted Critical
Publication of KR102059526B1 publication Critical patent/KR102059526B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

활성 영역을 갖는 기판을 준비한다. 상기 활성 영역 내에 엘디디(lightly doped drain; LDD)를 형성한다. 상기 활성 영역 내에 인(P)을 함유하는 고속 식각 영역을 형성한다. 상기 고속 식각 영역을 제거하여 제1 트렌치를 형성한다. 방향성 식각 공정을 이용하여 상기 제1 트렌치를 확장하여 제2 트렌치를 형성한다. 상기 활성 영역은 상기 제2 트렌치에 의하여 형성된 시그마-모양(∑-shape)을 갖는다. 상기 제2 트렌치 내에 내장 스트레서(embedded stressor)를 형성한다. 상기 활성영역 상에 게이트 전극을 형성한다.

Description

내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자{Method of forming semiconductor device having embedded stressor and related device}
본 발명은 기판에 내장된 스트레서를 갖는 반도체 소자의 형성 방법 및 관련된 소자에 관한 것이다.
반도체 소자의 전기적 특성 개선을 위하여 스트레인 기술(strain technology)이 다양하게 연구되고 있다.
본 발명이 해결하고자 하는 과제는, 스트레서(stressor)의 위치와 모양을 일정하게 제어할 수 있는 반도체 소자의 형성 방법 및 관련된 소자를 제공하는 데 있다.
본 발명이 해결하고자 하는 다른 과제는, 스트레서(stressor)를 갖는 반도체 소자를 채택하는 전자 장치를 제공하는 데 있다.
본 발명이 해결하고자 하는 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당 업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 달성하기 위하여 본 발명 기술적 사상의 실시 예들은, 반도체 소자의 형성 방법을 제공한다. 이 방법은 활성 영역을 갖는 기판을 제공하고, 상기 활성 영역에 엘디디(lightly doped drain; LDD)를 형성하는 것을 포함한다. 상기 활성 영역에 인(P)을 함유하는 고속 식각 영역을 형성한다. 상기 고속 식각 영역의 적어도 일부를 제거하여 제1 트렌치를 형성한다. 방향성 식각 공정을 이용하여 상기 제1 트렌치를 확장하여 제2 트렌치를 형성한다. 상기 활성 영역은 상기 제2 트렌치에 의하여 형성된 시그마-모양(∑-shape)을 갖는다. 상기 제2 트렌치 내에 내장 스트레서(embedded stressor)를 형성한다. 상기 활성영역 상에 게이트 전극을 형성한다.
또한, 본 발명 기술적 사상의 실시 예들은, 다른 반도체 소자의 형성 방법을 제공한다. 이 방법은 제1 영역에 한정된 제1 활성 영역 및 상기 제1 영역과 패턴 밀도가 다른 제2 영역에 한정된 제2 활성 영역을 갖는 기판을 제공하고, 상기 제1 활성 영역에 제1 엘디디(LDD) 및 상기 제2 활성 영역에 제2 엘디디(LDD)를 형성하는 것을 포함한다. 상기 제1 활성 영역에 제1 고속 식각 영역 및 상기 제2 활성 영역에 제2 고속 식각 영역을 형성한다. 상기 제1 고속 식각 영역 및 상기 제2 고속 식각 영역은 인(P)을 함유한다. 상기 제1 고속 식각 영역을 제거하여 제1 예비 트렌치 및 상기 제2 고속 식각 영역을 제거하여 제2 예비 트렌치를 형성한다. 방향성 식각 공정을 이용하여, 상기 제1 예비 트렌치를 확장하여 제1 트렌치 및 상기 제2 예비 트렌치를 확장하여 제2 트렌치를 형성한다. 상기 제1 활성 영역은 상기 제1 트렌치에 의하여 형성된 제1 시그마-모양(∑-shape)을 가지며, 상기 제2 활성 영역은 상기 제2 트렌치에 의하여 형성된 제2 시그마-모양(∑-shape)을 가진다. 상기 제1 트렌치 내에 제1 내장 스트레서 및 상기 제2 트렌치 내에 제2 내장 스트레서를 형성한다. 상기 제1 활성영역 상에 제1 게이트 전극 및 상기 제2 활성영역 상에 제2 게이트 전극을 형성한다.
이에 더하여, 본 발명 기술적 사상의 실시 예들은, 반도체 소자를 제공한다. 상기 반도체 소자는 제1 영역 및 상기 제1 영역과 패턴 밀도가 다른 제2 영역을 갖는 기판을 포함한다. 상기 제1 영역에 한정된 제1 활성 영역이 제공된다. 상기 제1 활성 영역 상에 제1 게이트 전극이 형성된다. 상기 제1 활성 영역 내에 상기 제1 게이트 전극에 오프셋 정렬된 제1 트렌치가 형성된다. 상기 제1 트렌치 내에 제1 내장 스트레서(embedded stressor)가 형성된다. 상기 제2 영역에 한정된 제2 활성 영역이 제공된다. 상기 제2 활성 영역 상에 제2 게이트 전극이 형성된다. 상기 제2 활성 영역 내에 상기 제2 게이트 전극에 오프셋 정렬된 제2 트렌치가 형성된다. 상기 제2 트렌치 내에 제2 내장 스트레서가 형성된다. 상기 제1 활성 영역은 상기 제1 트렌치에 의하여 형성된 제1 시그마-모양(∑-shape)을 갖고, 상기 제2 활성 영역은 상기 제2 트렌치에 의하여 형성된 제2 시그마-모양(∑-shape)을 갖는다. 상기 제1 활성 영역은 제1 상부 표면, 상기 제1 상부 표면 아래에 상기 제1 내장 스트레서와 마주보는 제1 측면, 상기 제1 측면 아래에 상기 제1 내장 스트레서와 마주보는 제2 측면, 상기 상부 표면 및 상기 제1 측면 사이의 제1 모서리, 및 상기 제1 측면과 상기 제2 측면 사이의 제2 모서리를 포함한다. 상기 제2 활성 영역은 제2 상부 표면, 상기 제2 상부 표면 아래에 상기 제2 내장 스트레서와 마주보는 제3 측면, 상기 제3 측면 아래에 상기 제2 내장 스트레서와 마주보는 제4 측면, 상기 상부 표면 및 상기 제3 측면 사이의 제3 모서리, 및 상기 제3 측면과 상기 제4 측면 사이의 제4 모서리를 포함한다. 상기 제2 모서리는 상기 제1 게이트 전극의 하부에 중첩되며 상기 제4 모서리보다 높은 레벨에 형성된다. 상기 제4 모서리는 상기 제2 게이트 전극의 외측에 정렬된다.
나아가서, 본 발명 기술적 사상의 실시 예들은, 다른 반도체 소자를 제공한다. 상기 반도체 소자는 활성 영역을 갖는 기판을 포함한다. 상기 활성 영역 상에 게이트 전극이 배치된다. 상기 활성 영역 내에 엘디디(lightly doped drain; LDD)가 형성된다. 상기 활성 영역 내에 형성된 트렌치를 채우는 내장 스트레서(embedded stressor)가 배치된다. 상기 활성 영역은 상기 트렌치에 의하여 형성된 시그마-모양(∑-shape)을 갖는다. 상기 엘디디(LDD)는 보론(B) 및 인(P)을 포함한다. 상기 엘디디(LDD)는 5E18 - 1E19 atom/㎤ 의 인(P)을 함유한다.
더 나아가서, 본 발명 기술적 사상의 실시 예들은, 전자 장치를 제공한다. 상기 전자 장치는 마더 보드 상에 탑재되고 활성 영역을 갖는 반도체 기판을 포함한다. 상기 활성 영역 상에 게이트 전극이 배치된다. 상기 활성 영역 내에 엘디디(lightly doped drain; LDD)가 형성된다. 상기 활성 영역 내에 형성된 트렌치를 채우는 내장 스트레서(embedded stressor)가 배치된다. 상기 활성 영역은 상기 트렌치에 의하여 형성된 시그마-모양(∑-shape)을 갖는다. 상기 엘디디(LDD)는 보론(B) 및 인(P)을 포함한다. 상기 엘디디(LDD)는 5E18 - 1E19 atom/㎤ 의 인(P)을 함유한다.
기타 실시 예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명 기술적 사상의 실시 예들에 따르면, 활성 영역에 형성된 트렌치를 채우는 내장 스트레서(embedded stressor)가 제공될 수 있다. 상기 트렌치를 형성하는 것은 엘디디(LDD) 내에 인(P)을 주입하여 고속 식각 영역을 형성하고, 상기 고속 식각 영역을 등방성 식각하여 제1 트렌치를 형성하고, 방향성 식각 공정을 이용하여 제2 트렌치를 형성하는 것을 포함한다. 상기 내장 스트레서는 상기 트렌치를 채울 수 있다. 상기 내장 스트레서의 크기, 형태, 및 위치 제어에 유리하고, 패턴 로딩 이팩트(pattern loading effect)를 최소화할 수 있으며, 상기 활성 영역의 중심 부분과 가장자리 부분에 있어서 위치에 따른 편차를 개선할 수 있다는 측면에서 종래에 비하여 현저히 우수한 효과를 보인다. 종래에 비하여 우수한 전기적 특성을 보이는 반도체 소자를 구현할 수 있다.
도 1은 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 플로차트(flow chart) 이다.
도 2 내지 도 14g는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 단면도들이다.
도 15는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 단면도이다.
도 16은 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 레이아웃이다.
도 17 내지 도 31c는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위하여 도 16의 절단선 I-I'및 II-II'에 따라 취해진 단면도들이다.
도 32는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 레이아웃이다.
도 33 내지 도 35, 및 도 37은 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 단면도들이다.
도 36은 도 35의 일부분을 상세히 보여주는 확대단면도이고, 도 38은 도 37의 일부분을 상세히 보여주는 확대단면도이다.
도 39및 도 40은 본 발명의 기술적 사상의 실시 예에 따른 전자 장치의 사시도 및 시스템 블록도이다.
도 41은 본 발명의 기술적 사상의 실시 예들에 따른 전자 장치를 설명하기 위한 시스템 블록도이다.
첨부한 도면들을 참조하여 본 발명 기술적 사상의 실시 예들을 상세히 설명하기로 한다. 그러나 본 발명은 여기서 설명되는 실시 예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시 예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장된 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되는 경우에 그것은 다른 층 또는 기판상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 의미한다.
제1, 제2등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되는 것은 아니다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소는 제1 구성요소로 명명될 수 있다.
상단, 하단, 상면, 하면, 또는 상부, 하부 등의 용어는 구성요소에 있어 상대적인 위치를 구별하기 위해 사용되는 것이다. 예를 들어, 편의상 도면상의 위쪽을 상부, 도면상의 아래쪽을 하부로 명명하는 경우, 실제에 있어서는 본 발명의 권리 범위를 벗어나지 않으면서 상부는 하부로 명명될 수 있고, 하부는 상부로 명명될 수 있다.
본 출원에서 사용한 용어는 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미가 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미가 있는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
도 1은 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 플로차트(flow chart) 이고, 도 2 내지 도 14g는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 단면도들이다.
도 1을 참조하면, 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법은 엘디디(lightly doped drain: LDD)를 형성하고(S500), 고속 식각 영역(faster etch rate part)을 형성하고(S510), 제1 트렌치를 형성하고(S520), 제2 트렌치를 형성하고(S530), 제1 반도체 막을 형성하고(S540), 제2 반도체 막을 형성하고(S550), 제3 반도체 막을 형성하고(S560), 층간 절연 막을 형성하는 것(S570)을 포함할 수 있다. 이하 공정 별 도면들을 참조하여 상세히 설명하기로 한다.
도 1 및 도 2를 참조하면, 기판(21) 상에 웰(well; 22), 활성 영역(23), 소자 분리 막(29), 버퍼 막(31), 가설 게이트 전극(33), 제1 마스크 패턴(35), 및 제2 마스크 패턴(37)이 형성될 수 있다. 상기 기판(21)은 실리콘 웨이퍼 또는 에스오아이(silicon on insulator; SOI) 웨이퍼와 같은 단결정 반도체 기판일 수 있다. 상기 기판(21)은 제1 도전형 불순물들을 포함할 수 있다. 상기 웰(well; 22)은 상기 제1 도전형과 다른 제2 도전형 불순물들을 포함할 수 있다.
이하에서는 상기 제1 도전형이 p형이고 상기 제2 도전형은 n형인 경우를 상정하여 설명하기로 한다. 다른 실시 예에서, 상기 제1 도전형은 n형이고 상기 제2 도전형은 p형일 수 있다. 예를 들면, 상기 기판(21)은 p형 불순물들을 포함하는 단결정 실리콘일 수 있으며, 상기 웰(well; 22)은 n형 불순물들을 포함하는 단결정 실리콘일 수 있다. 상기 기판(21)은 보론(B)을 포함할 수 있으며, 상기 웰(well; 22)은 비소(As), 인(P), 또는 이들의 조합을 포함할 수 있다.
상기 소자 분리 막(29)에 의하여 상기 웰(well; 22) 내에 상기 활성 영역(23)이 한정될 수 있다. 상기 활성 영역(23)은 n형 불순물들을 포함하는 단결정 실리콘을 포함할 수 있다. 상기 소자 분리 막(29)은 에스티아이(shallow trench isolation; STI) 기술을 이용하여 형성될 수 있다. 상기 소자 분리 막(29)은 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다. 상기 버퍼 막(31)은 상기 활성 영역(23) 및 상기 가설 게이트 전극(33) 사이에 개재될 수 있다. 상기 버퍼 막(31)은 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다. 예를 들면, 상기 버퍼 막(31)은 실리콘 산화물일 수 있다.
상기 가설 게이트 전극(33)은 상기 활성 영역(23)을 가로지르도록 형성될 수 있다. 상기 가설 게이트 전극(33)은 상기 활성 영역(23) 및 상기 소자 분리 막(29)을 가로지를 수 있다. 상기 가설 게이트 전극(33)은 폴리실리콘을 포함할 수 있다. 다른 실시 예에서, 상기 가설 게이트 전극(33)은 절연 막일 수 있다. 상기 제1 마스크 패턴(35)은 상기 가설 게이트 전극(33) 상에 형성될 수 있다. 상기 제1 마스크 패턴(35)은 상기 가설 게이트 전극(33)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 상기 제2 마스크 패턴(37)은 상기 제1 마스크 패턴(35) 상에 형성될 수 있다. 상기 제2 마스크 패턴(37)은 상기 제1 마스크 패턴(35)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 제1 마스크 패턴(35)은 실리콘 산화물을 포함할 수 있으며, 상기 제2 마스크 패턴(37)은 실리콘 질화물 또는 폴리실리콘을 포함할 수 있다. 상기 제1 마스크 패턴(35) 또는 상기 제2 마스크 패턴(37) 중 하나는 생략될 수 있다.
상기 제2 마스크 패턴(37), 상기 제1 마스크 패턴(35), 상기 가설 게이트 전극(33), 및 상기 버퍼 막(31)의 측면들은 수직 정렬될 수 있다. 상기 제2 마스크 패턴(37), 상기 제1 마스크 패턴(35), 상기 가설 게이트 전극(33), 및 상기 버퍼 막(31)은 가설 게이트 패턴(31, 33, 35, 37)으로 지칭될 수 있다. 상기 가설 게이트 패턴(31, 33, 35, 37)은 상기 활성 영역(23)을 가로지를 수 있다. 상기 가설 게이트 패턴(31, 33, 35, 37)은 상기 활성 영역(23) 상에 서로 평행하게 여러 개 형성될 수 있다.
도 1 및 도 3을 참조하면, 상기 가설 게이트 전극(33)의 측벽 상에 제1 스페이서(42)가 형성될 수 있다. 상기 제1 스페이서(42), 상기 제2 마스크 패턴(37), 상기 제1 마스크패턴(35), 및 상기 가설 게이트 전극(33)을 이온 주입 마스크로 사용하여 상기 활성 영역(23)에 상기 제1 도전형 불순물을 주입하여 엘디디(lightly doped drain; LDD; 43)가 형성될 수 있다(S500). 예를 들면, 상기 엘디디(LDD; 43)를 형성하는 것은 1E13 - 5E14 atom/ ㎠ 의 도즈(dose), 2-5Kev 이온주입 에너지, 및 BF2 가 적용될 수 있다. 상기 엘디디(LDD; 43)는 보론(B)을 포함할 수 있다. 상기 활성 영역(23)에 상기 제2 도전형 불순물을 주입하여 헤일러(halo; 45)가 형성될 수 있다. 상기 헤일러(halo; 45)는 상기 엘디디(LDD; 43)의 측면 및 바닥을 덮을 수 있다. 상기 엘디디(LDD; 43) 및 상기 헤일러(halo; 45)를 형성하는 것은 이온 주입 공정 및 열처리 공정을 포함할 수 있다.
상기 제1 스페이서(42)는 상기 기판(21) 상을 컨포말하게 덮을 수 있다. 예를 들면, 상기 제1 스페이서(42)는 상기 가설 게이트 패턴(31, 33, 35, 37)의 상부표면 및 측면들을 덮고, 상기 활성 영역(23) 및 상기 소자 분리 막(29)을 일정한 두께로 덮을 수 있다. 상기 제1 스페이서(42)는 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다. 상기 제1 스페이서(42)는 상기 가설 게이트 전극(33)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 제1 스페이서(42)는 실리콘 질화물을 포함할 수 있다. 상기 엘디디(LDD; 43)는 상기 활성 영역(23)의 상부 표면에서 일정 깊이에 이르도록 형성될 수 있다. 상기 엘디디(LDD; 43)는 상기 가설 게이트 패턴(31, 33, 35, 37)의 하부에 부분적으로 중첩될 수 있다. 상기 제1 스페이서(42)의 두께를 조절하여 상기 엘디디(LDD; 43)를 원하는 위치에 형성할 수 있다.
도 1 및 도 4a를 참조하면, 상기 제1 스페이서(42) 상에 제2 스페이서(47)가 형성될 수 있다. 상기 제2 스페이서(47)를 이온 주입 마스크로 이용하여 상기 활성 영역(23) 내에 고속 식각 영역(faster etch rate part; 49)이 형성될 수 있다(S510). 예를 들면, 상기 고속 식각 영역(49)을 형성하는 것은 5E14 - 3E15 atom/ ㎠ 의 도즈(dose), 2-5Kev 이온주입 에너지, 및 PH3 가 적용될 수 있다. 상기 PH3 대신에 PH2 가 사용될 수도 있다. 상기 고속 식각 영역(49)은 인(P)을 포함할 수 있다.
상기 제2 스페이서(47)는 상기 기판(21) 상을 컨포말하게 덮을 수 있다. 예를 들면, 상기 제2 스페이서(47)는 상기 가설 게이트 패턴(31, 33, 35, 37)의 상부표면 및 측면들을 덮고, 상기 엘디디(LDD; 43) 및 상기 소자 분리 막(29)을 덮을 수 있다. 상기 제2 스페이서(47)는 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다. 상기 제2 스페이서(47)는 상기 가설 게이트 전극(33)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 제2 스페이서(47)는 실리콘 질화물을 포함할 수 있다. 상기 제1 스페이서(42) 및 상기 제2 스페이서(47)는 상기 가설 게이트 패턴(31, 33, 35, 37)의 측면을 차례로 덮을 수 있다.
상기 제2 스페이서(47)의 두께를 조절하여 상기 고속 식각 영역(49)을 원하는 위치에 형성할 수 있다. 상기 고속 식각 영역(49)은 상기 엘디디(LDD; 43) 내에 형성될 수 있다. 상기 고속 식각 영역(49)의 바닥은 상기 엘디디(LDD; 43)의 바닥보다 높은 레벨에 형성될 수 있다. 상기 고속 식각 영역(49)은 상기 가설 게이트 전극(33)의 외측에 정렬되도록 형성될 수 있다. 상기 활성 영역(23)은 상기 가설 게이트 전극(33)의 하부에 보존될 수 있다. 상기 엘디디(LDD; 43)는 상기 가설 게이트 전극(33)의 하부에 보존될 수 있다. 상기 고속 식각 영역(49) 및 상기 활성 영역(23) 사이에 상기 엘디디(LDD; 43)가 보존될 수 있다.
도 1 및 도 4b를 참조하면, 제2 스페이서(47A)는 다양한 두께를 갖도록 형성될 수 있다. 예를 들면, 상기 제2 스페이서(47A)는 1 3 nm의 두께를 갖도록 형성될 수 있다. 상기 제2 스페이서(47A)는 상기 고속 식각 영역(49)의 위치를 제어하는 역할을 할 수 있다. 예를 들면, 상기 제2 스페이서(47A)의 두께를 조절하여 상기 고속 식각 영역(49)의 측면이 상기 엘디디(LDD; 43) 내에 위치하도록 제어할 수 있다. 상기 제2 스페이서(47A)의 두께를 조절하여 상기 고속 식각 영역(49)이 상기 가설 게이트 전극(33)에서 떨어지도록 제어할 수 있다. 상기 엘디디(LDD; 43)는 상기 고속 식각 영역(49) 및 상기 활성 영역(23) 사이에 보존될 수 있다.
다른 실시 예에서, 상기 고속 식각 영역(49)은 상기 엘디디(LDD; 43)를 관통할 수 있다. 예를 들면, 상기 고속 식각 영역(49)의 바닥은 상기 헤일러(halo; 45) 내에 형성될 수 있다. 나아가서, 상기 고속 식각 영역(49)은 상기 엘디디(LDD; 43) 및 상기 헤일러(halo; 45)를 모두 관통할 수 있다.
도 1 및 도 5a를 참조하면, 상기 제2 스페이서(47) 상에 제3 스페이서(51)가 형성될 수 있다. 상기 제3 스페이서(51)를 형성하는 것은 박막 형성공정 및 이방성 식각 공정을 포함할 수 있다. 상기 제3 스페이서(51)를 형성하는 동안 상기 제2 스페이서(47) 및 상기 제1 스페이서(42)가 부분적으로 제거되어 상기 고속 식각 영역(49)의 상부표면이 노출될 수 있다. 상기 제2 스페이서(47) 및 상기 제1 스페이서(42)는 상기 가설 게이트 패턴(31, 33, 35, 37) 및 상기 제3 스페이서(51) 사이에 보존될 수 있다.
상기 제3 스페이서(51)는 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다. 상기 제3 스페이서(51)는 상기 가설 게이트 전극(33)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 제3 스페이서(51)는 실리콘 질화물을 포함할 수 있다.
도 1 및 도 5b를 참조하면, 상기 제3 스페이서(51)를 형성하는 동안 상기 고속 식각 영역(49)이 부분적으로 제거되어 리세스 영역(51T)이 형성될 수 있다. 예를 들면, 상기 리세스 영역(51T)은 1nm - 10nm 깊이를 보일 수 있다.
도 1 및 도 5c를 참조하면, 상기 제3 스페이서(51)를 형성한 후, 추가적인 이방성 식각 공정을 이용하여 리세스 영역(51T)이 형성될 수 있다. 상기 리세스 영역(51T)은 상기 고속 식각 영역(49) 및 상기 엘디디(LDD; 43)을 관통할 수 있다. 예를 들면, 상기 리세스 영역(51T)은 7nm - 10nm 깊이를 보일 수 있다. 상기 리세스 영역(51T)의 바닥에 상기 헤일러(halo; 45)가 노출될 수 있다. 상기 리세스 영역(51T)의 측벽들은 상기 제3 스페이서(51)의 측면들에 수직 정렬될 수 있다.
도 1, 도 6a 및 도 6b를 참조하면, 상기 고속 식각 영역(49), 상기 엘디디(LDD; 43), 및 상기 헤일러(halo; 45)를 식각하여 제1 트렌치(53)가 형성될 수 있다(S520). 상기 제1 트렌치(53)는 상기 고속 식각 영역(49)에 정렬된 상부 트렌치(53A) 및 상기 상부 트렌치(53A)의 바닥에 연통된 하부 트렌치(53B)를 포함할 수 있다. 상기 상부 트렌치(53A)는 상기 엘디디(LDD; 43) 내에 형성될 수 있다. 상기 상부 트렌치(53A)에 의하여 상기 제1 스페이서(42), 상기 제2 스페이서(47) 및 상기 제3 스페이서(51)의 하부에 언더-컷(under-cut)이 형성될 수 있다. 상기 하부 트렌치(53B)는 상기 엘디디(LDD; 43)를 관통하여 상기 헤일러(halo; 45) 내에 형성될 수 있다. 상기 하부 트렌치(53B)는 상기 상부 트렌치(53A)보다 좁은 폭을 보일 수 있다. 상기 제1 트렌치(53)의 측벽은 단차(step)를 보일 수 있다. 예를 들면, 상기 상부 트렌치(53A) 및 상기 하부 트렌치(53B)에 의하여 상기 엘디디(LDD; 43)의 노출된 측면은 단차(step)를 보일 수 있다.
상기 제1 트렌치(53)를 형성하는 것은 건식 식각 공정, 습식 식각 공정, 또는 이들의 조합이 적용될 수 있다. 상기 제1 트렌치(53)를 형성하는 것은 등방성 식각 공정, 이방성 식각 공정, 또는 이들의 조합이 적용될 수 있다. 예를 들면, 상기 제1 트렌치(53)를 형성하는 것은 HBr, CF4, O2, Cl2, NF3, 또는 이들의 조합을 사용하는 등방성 건식 식각 공정을 포함할 수 있다. 본 발명자들이 확인한 바에 따르면, 인(P)을 함유하는 단결정 실리콘은 보론(B)을 함유하는 단결정 실리콘에 비하여 빠른 식각 속도를 보일 수 있다. 상기 고속 식각 영역(49)은 상기 엘디디(LDD; 43)에 비하여 상대적으로 빠른 식각 속도를 보일 수 있다. 상기 고속 식각 영역(49) 및 상기 엘디디(LDD; 43)의 구성에 기인하여 상기 상부 트렌치(53A) 및 상기 하부 트렌치(53B)의 크기와 모양이 결정될 수 있다. 상기 고속 식각 영역(49) 및 상기 엘디디(LDD; 43)의 구성을 이용하여 상기 제1 트렌치(53)의 크기, 모양, 위치를 원하는 대로 조절할 수 있다. 상기 제1 트렌치(53)는 상기 기판(21)의 전면에 걸쳐서 매우 균일하게 형성될 수 있다.
도 6c를 참조하면, 몇몇 실시 예에서 상기 고속 식각 영역(49)은 상기 상부 트렌치(53A) 및 상기 엘디디(LDD; 43) 사이에 보존될 수 있다.
도 1 및 도 7a를 참조하면, 방향성 식각(directional etch)공정을 이용하여 제2 트렌치(55)가 형성될 수 있다(S530). 예를 들면, 상기 제2 트렌치(55)의 형성에는 NH4OH, NH3OH, TMAH(Tetra Methyl Ammonium Hydroxide), KOH, NaOH, BTMH(benzyltrimethylammonium hydroxide), 또는 이들의 조합을 사용하는 습식 식각 공정이 적용될 수 있다. 상기 제2 트렌치(55)에 의하여 상기 활성 영역(23)은 시그마-모양(∑-shape)을 보일 수 있다. 상기 엘디디(LDD; 43)는 상기 가설 게이트 패턴(31, 33, 35, 37)의 하부에 보존될 수 있다. 상기 활성 영역(23)은 제1 표면(23SU), 제1 측면(23S1), 제2 측면(23S2), 및 제2 표면(23S3)을 포함할 수 있다. 상기 제1 측면(23S1) 및 상기 제1 표면(23SU) 사이에 제1 모서리(E1)가 정의될 수 있다. 상기 제1 측면(23S1) 및 상기 제2 측면(23S2) 사이에 제2 모서리(E2)가 정의될 수 있다. 상기 제1 측면(23S1) 및 상기 제2 측면(23S2)은 {111}면({111}surface)일 수 있다. 상기 제2 트렌치(55)는 상기 제1 트렌치(53)의 확장으로 해석될 수 있다.
도 7b를 참조하면, 상기 제2 트렌치(55)는 상기 엘디디(LDD; 43) 및 상기 헤일러(halo; 45)를 관통할 수 있다. 상기 제1 표면(23SU)은 상기 활성 영역(23)의 상단에 정의될 수 있다. 예를 들면, 상기 제1 표면(23SU)은 상기 버퍼 막(31)에 접촉되고 상기 제1 스페이서(42)의 하부에 연장될 수 있다. 상기 제1 측면(23S1), 상기 제2 측면(23S2), 및 상기 제2 표면(23S3)은 상기 제2 트렌치(55)에 노출될 수 있다. 상기 제1 측면(23S1)은 상기 제1 표면(23SU)과 연결될 수 있다. 상기 제1 측면(23S1)은 상기 제1 표면(23SU)에 대하여 예각을 이룰 수 있다. 상기 제2 측면(23S2)은 상기 제1 측면(23S1)의 아래에 형성될 수 있다. 상기 제2 측면(23S2)은 상기 제1 측면(23S1)과 다른 경사를 보일 수 있다. 상기 제2 측면(23S2)은 상기 기판(21)에 평행하고 상기 제2 표면(23S3)을 지나는 수평 연장선에 대하여 예각을 이룰 수 있다. 상기 제2 표면(23S3)은 상기 제2 트렌치(55)의 바닥에 노출될 수 있다. 상기 제2 표면(23S3)은 상기 제2 측면(23S2)과 연결될 수 있다. 상기 제1 표면(23SU)은 상기 활성 영역(23)의 상부 표면으로 해석될 수 있다.
상기 고속 식각 영역(49)의 위치를 제어하여 상기 제1 모서리(E1) 및 상기 제2 모서리(E2)의 위치를 원하는 위치에 형성할 수 있다. 예를 들면, 상기 제1 모서리(E1)는 상기 제1 스페이서(42)의 하부에 형성될 수 있으며, 상기 제2 모서리(E2)는 상기 가설 게이트 전극(33)의 하부에 중첩되도록 형성될 수 있다. 상기 제1 모서리(E1) 및 상기 제2 모서리(E2)는 상기 엘디디(LDD; 43)의 표면에 위치할 수 있다. 상기 제1 측면(23S1)에 상기 엘디디(LDD; 43)가 노출될 수 있다. 상기 제2 측면(23S2)에 상기 엘디디(LDD; 43), 상기 헤일러(halo; 45) 및 상기 활성 영역(23)이 노출될 수 있다.
상기 가설 게이트 전극(33)의 측면을 지나고 상기 기판(21)에 수직한 직선과 상기 제2 모서리(E2)사이의 수평 거리(X)가 정의될 수 있다. 상기 제1 표면(23SU)을 지나고 상기 기판(21)에 평행한 직선과 상기 제2 모서리(E2)사이의 수직 높이(Y)가 정의될 수 있다. 예를 들면, 상기 수평 거리(X)는 0 내지 -5nm일 수 있으며, 상기 수직 높이(Y)는 3nm 내지 7nm 일 수 있다. 상기 수평 거리(X)가 0일 경우 상기 제2 모서리(E2)가 상기 가설 게이트 전극(33)의 측면에 수직 중첩된 것으로 해석될 수 있으며, 상기 수평 거리(X)가 음(-)의 수치를 보이는 경우 상기 제2 모서리(E2)가 상기 가설 게이트 전극(33)의 하부에 수직 중첩된 것으로 해석될 수 있고, 상기 수평 거리(X)가 양(+)의 수치를 보이는 경우 상기 제2 모서리(E2)가 상기 가설 게이트 전극(33)의 외측에 정렬된 것으로 해석될 수 있다.
상기 고속 식각 영역(49)의 위치를 제어하여 상기 수평 거리(X) 및 상기 수직 높이(Y)의 위치를 상기 기판(21)의 전면에 걸쳐서 종래에 비하여 일정하게 제어할 수 있다. 몇몇 실시 예에서, 상기 수평 거리(X)의 절대값을 크게 하면서 상기 수직 높이(Y)를 종래에 비하여 상대적으로 감소시킬 수 있다. 본 발명의 실시 예들에 따른 반도체 소자는 상기 수평 거리(X) 및 상기 수직 높이(Y)의 위치에 기인하여 종래에 비하여 현저히 우수한 전기적 특성을 보일 수 있다. 본 발명자들이 확인한 바에 따르면, 상기 제2 모서리(E2)가 상기 엘디디(LDD; 43)의 표면에 위치하는 반도체 소자는 상대적으로 우수한 전기적 특성을 보이는 것으로 나타났다.
도 7c를 참조하면, 몇몇 실시 예에서 상기 제2 트렌치(55) 및 상기 엘디디(LDD; 43) 사이에 상기 고속 식각 영역(49)이 보존될 수 있다.
도 1 및 도 8a를 참조하면, 상기 제2 트렌치(55) 내에 제1 반도체 막(61)이 형성될 수 있다(S540). 상기 제1 반도체 막(61)은 선택적 에피 성장(selective epitaxial growth; SEG) 방법에 의한 언도프드(undoped) 단결정 SiGe를 포함할 수 있다. 상기 제1 반도체 막(61) 내에서 Ge의 함량은 10-25% 일 수 있다. 상기 제1 반도체 막(61)은 상기 제2 트렌치(55)의 내벽을 컨포말하게 덮을 수 있다. 상기 제1 반도체 막(61)은 상기 제1 측면(23S1) 및 상기 제2 측면(23S2)을 일정한 두께로 덮을 수 있다.
도 8b를 참조하면, 제1 반도체 막(61A)은 상기 제2 측면(23S2)을 일정한 두께로 덮고 상기 제1 측면(23S1)을 부분적으로 노출하도록 형성될 수 있다.
도 8c를 참조하면, 제1 반도체 막(61B)은 상기 제1 측면(23S1) 및 상기 제2 측면(23S2)을 덮도록 형성될 수 있다.
도 1 및 도 9를 참조하면, 상기 제2 트렌치(55) 내에 제2 반도체 막(62)이 형성될 수 있다(S550). 상기 제2 반도체 막(62)은 선택적 에피 성장(selective epitaxial growth; SEG) 방법에 의한 보론(B) 도프드(doped) 단결정 SiGe를 포함할 수 있다. 상기 제2 반도체 막(62) 내에서 Ge의 함량은 25-50% 일 수 있다. 상기 제2 반도체 막(62)은 1E20 3E20 atom/㎤ 의 보론(B)을 함유할 수 있다. 상기 제2 반도체 막(62)은 상기 제2 트렌치(55)를 완전히 채울 수 있다. 상기 제2 반도체 막(62)의 상단은 상기 활성 영역(23)보다 높은 레벨에 돌출될 수 있다.
도 1 및 도 10을 참조하면, 상기 제2 반도체 막(62) 상에 제3 반도체 막(63)이 형성될 수 있다(S560). 상기 제3 반도체 막(63)은 선택적 에피 성장(selective epitaxial growth; SEG) 방법에 의한 보론(B) 도프드(doped) 단결정 Si을 포함할 수 있다. 상기 제3 반도체 막(63)은 1E20 3E20 atom/㎤ 의 보론(B)을 함유할 수 있다. 상기 제1 반도체 막(61), 상기 제2 반도체 막(62) 및 상기 제3 반도체 막(63)은 내장 스트레서(embedded stressor; 65)를 구성할 수 있다. 상기 내장 스트레서(embedded stressor; 65)는 스트레인-유도 패턴(strain-inducing pattern)으로 지칭될 수 있다.
다른 실시 예에서, 상기 제1 반도체 막(61) 또는 상기 제3 반도체 막(63)은 생략될 수 있다.
도 1 및 도 11을 참조하면, 상기 기판(21) 상에 층간 절연 막(71)이 형성될 수 있다(S570). 상기 층간 절연 막(71)은 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다.
다른 실시 예에서, 상기 층간 절연 막(71)을 형성하기 전에, 상기 제3 반도체 막(63) 상에 금속 실리사이드 형성 공정, 열처리 공정, 등과 같은 몇몇 공정들이 추가적으로 수행될 수 있으나 간략한 설명을 위하여 생략하기로 한다.
도 1 및 도 12를 참조하면, 상기 층간 절연 막(71)을 부분적으로 제거하고, 상기 제2 마스크 패턴(37) 및 상기 제1 마스크 패턴(35)을 제거하여 상기 가설 게이트 전극(33)이 노출될 수 있다. 상기 층간 절연 막(71), 상기 제2 마스크 패턴(37) 및 상기 제1 마스크 패턴(35)의 제거에는 화학 기계적 연마(chemical mechanical polishing; CMP) 공정, 에치-백(etch-back) 공정, 또는 이들의 조합이 적용될 수 있다.
도 1 및 도 13을 참조하면, 상기 가설 게이트 전극(33) 및 상기 버퍼 막(31)을 제거하여 상기 활성 영역(23)을 노출하는 게이트 트렌치(33T)가 형성될 수 있다.
도 1 및 도 14a를 참조하면, 상기 게이트 트렌치(33T) 내에 제1 게이트 유전 막(73), 제2 게이트 유전 막(75), 제1 게이트 전극(77) 및 제2 게이트 전극(79)이 형성될 수 있다.
상기 제1 게이트 유전 막(73)은 상기 활성 영역(23) 상에 형성될 수 있다. 상기 제1 게이트 유전 막(73)은 계면 산화 막(interfacial oxide layer)으로 지칭될 수 있다. 상기 제1 게이트 유전 막(73)은 세정 공정을 이용하여 형성될 수 있다. 상기 제1 게이트 유전 막(73)은 실리콘 산화물을 포함할 수 있다. 상기 제2 게이트 유전 막(75)은 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, High-K 유전막, 또는 이들의 조합을 포함할 수 있다. 예를 들면, 상기 제2 게이트 유전 막(75)은 HfO 또는 HfSiO 를 포함할 수 있다. 상기 제2 게이트 유전 막(75)은 상기 제1 게이트 전극(77)의 측면 및 바닥을 감쌀 수 있다. 상기 제1 게이트 유전 막(73)은 상기 활성 영역(23) 및 상기 제2 게이트 유전 막(75) 사이에 개재될 수 있다.
상기 제1 게이트 전극(77)은 제2 게이트 전극(79)의 측면 및 바닥을 감쌀 수 있다. 상기 제1 게이트 전극(77)은 일-함수(work-function)를 고려한 도전 막을 포함할 수 있다. 예를 들면, 상기 제1 게이트 전극(77)은 TiN 또는 TaN을 포함할 수 있다. 상기 제2 게이트 전극(79)은 금속 막을 포함할 수 있다.
다른 실시 예에서, 상기 제1 게이트 전극(77)은 TiAl 또는 TiAlC를 포함할 수 있다.
도 14b를 참조하면, 상기 내장 스트레서(embedded stressor; 65)는 상기 엘디디(LDD; 43) 및 상기 헤일러(halo; 45)를 관통하여 상기 활성 영역(23)에 접촉될 수 있다. 상기 내장 스트레서(65)의 바닥은 상기 헤일러(halo; 45)보다 낮은 레벨에 형성될 수 있다. 상기 내장 스트레서(65)는 상기 제1 측면(23S1) 및 상기 제2 측면(23S2)에 접촉될 수 있다. 상기 제1 반도체 막(61)은 상기 엘디디(LDD; 43) 및 상기 제2 반도체 막(62) 사이에 개재될 수 있다.
상기 제2 반도체 막(62) 내에서 제1 도전형 불순물들의 농도는 상기 엘디디(LDD; 43)보다 높을 수 있다. 예를 들면, 상기 제2 반도체 막(62) 내에서 보론(B)의 농도는 상기 엘디디(LDD; 43)보다 높을 수 있다. 상기 제2 반도체 막(62) 내의 제1 도전형 불순물들은 상기 제1 반도체 막(61) 내부로 확산될 수 있다. 상기 제1 반도체 막(61) 내에서 보론(B)의 농도는 상기 제2 반도체 막(62)보다 낮을 수 있다.
상기 고속 식각 영역(도 4a의 49)에 주입된 인(P)은 상기 엘디디(LDD; 43) 내부로 확산될 수 있다. 상기 엘디디(LDD; 43)는 인(P) 및 보론(B)을 포함할 수 있다. 예를 들면, 상기 엘디디(LDD; 43)는 5E18 - 1E19 atom/㎤ 의 인(P)을 함유할 수 있다. 상기 고속 식각 영역(49)에 인(P)을 주입하는 동안 상기 제2 스페이서(47), 상기 제1 스페이서(42), 및 이들의 계면들에 인(P)이 잔류될 수 있다. 상기 내장 스트레서(65) 및 상기 제3 스페이서(51) 사이의 상기 제2 스페이서(47), 상기 제1 스페이서(42), 또는 이들의 계면들에 인(P)이 보존될 수 있다. 상기 엘디디(LDD; 43) 및 상기 제3 스페이서(51) 사이의 상기 제2 스페이서(47), 상기 제1 스페이서(42), 또는 이들의 계면들에도 인(P)이 보존될 수 있다.
도 14c를 참조하면, 상기 제2 스페이서(47A)의 두께를 조절하여 상기 내장 스트레서(65)의 수평 폭을 원하는 크기로 형성할 수 있다. 예를 들면, 상기 내장 스트레서(65)는 상기 제1 게이트 전극(77)의 외측에 형성될 수 있다.
도 14d를 참조하면, 상기 내장 스트레서(65)의 바닥은 상기 헤일러(halo; 45) 내에 위치할 수 있다.
도 14e를 참조하면, 내장 스트레서(65A)는 상기 제1 반도체 막(61A), 상기 제2 반도체 막(62) 및 상기 제3 반도체 막(63)을 포함할 수 있다. 상기 제1 반도체 막(61A)은 상기 제2 측면(23S2)을 일정한 두께로 덮고 상기 제1 측면(23S1)을 부분적으로 노출하도록 형성될 수 있다. 상기 제2 반도체 막(62)은 상기 제1 측면(23S1)에 접촉될 수 있다.
도 14f를 참조하면, 내장 스트레서(65B)는 상기 제1 반도체 막(61B), 상기 제2 반도체 막(62) 및 상기 제3 반도체 막(63)을 포함할 수 있다. 상기 제1 반도체 막(61B)은 상기 제1 측면(23S1) 및 상기 제2 측면(23S2)을 덮도록 형성될 수 있다. 상기 제1 반도체 막(61B)은 상기 엘디디(LDD; 43) 및 상기 제2 반도체 막(62) 사이에 형성될 수 있다.
도 14g를 참조하면, 상기 내장 스트레서(65) 및 상기 엘디디(LDD; 43) 사이에 상기 고속 식각 영역(49)이 부분적으로 보존될 수 있다.
도 15는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 단면도이다.
도 15를 참조하면, 기판(21) 상에 웰(well; 22), 활성 영역(23), 소자 분리 막(29), 게이트 유전막(131), 제1 게이트 전극(133), 제2 게이트 전극(181), 내측 스페이서(134), 제1 스페이서(142), 엘디디(lightly doped drain; LDD; 43), 헤일러(halo; 45), 제2 스페이서(147), 제3 스페이서(151), 내장 스트레서(65), 식각 정지 막(183), 층간 절연 막(185)이 형성될 수 있다. 상기 게이트 유전막(131) 및 상기 제1 게이트 전극(133)은 상기 내장 스트레서(65)보다 먼저 형성될 수 있다.
상기 게이트 유전막(131)은 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, High-K 유전막, 또는 이들의 조합을 포함할 수 있다. 상기 제1 게이트 전극(133)은 폴리실리콘, 금속실리사이드, 금속, 또는 이들의 조합과 같은 도전 막을 포함할 수 있다. 상기 제2 게이트 전극(181)은 금속실리사이드, 금속, 또는 이들의 조합과 같은 도전 막을 포함할 수 있다. 상기 내측 스페이서(134)는 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합을 포함할 수 있다. 상기 식각 정지 막(183)은 상기 층간 절연 막(185)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 층간 절연 막(185)은 실리콘 산화물을 포함할 수 있고, 상기 식각 정지 막(183)은 실리콘 질화물을 포함할 수 있다.
도 16은 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 레이아웃이고, 도 17 내지 도 31c는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위하여 도 16의 절단선 I-I'및 II-II'에 따라 취해진 단면도들이다.
도 16 및 도 17을 참조하면, 기판(221) 상에 활성 영역(223)을 한정하는 소자 분리 막(229)이 형성될 수 있다. 상기 활성 영역(223)의 상부표면은 버퍼 막(225)으로 덮일 수 있다. 상기 활성 영역(223)은 핀(fin)-모양 또는 와이어-모양과 같이 다양한 형태를 보일 수 있다. 예를 들면, 상기 활성 영역(223)은 장축의 길이가 상대적으로 길게 형성된 핀(fin)-모양의 단결정 실리콘을 포함할 수 있다.
도 16 및 도 18을 참조하면, 상기 기판(221)의 소정영역에 웰(well; 222)이 형성될 수 있다. 상기 활성 영역(223)은 상기 웰(222) 상에 한정될 수 있다. 상기 활성 영역(223) 내에 채널이온들이 주입될 수 있다. 상기 웰(222)은 상기 기판(221)과 다른 도전형의 불순물들을 주입하여 형성될 수 있다. 예를 들면, 상기 웰(222)은 상기 기판(221)의 표면에서 소정 깊이에 n형 불순물들을 주입하여 형성될 수 있다.
다른 실시 예에서, 상기 웰(222)은 상기 소자 분리 막(229)을 형성하기 전에 형성될 수도 있다. 상기 웰(222)은 생략될 수도 있다.
도 16 및 도 19를 참조하면, 상기 소자 분리 막(229)을 리세스하여 상기 활성 영역(223)의 측면들이 노출될 수 있다. 상기 소자 분리 막(229)은 상기 활성 영역(223)의 상단보다 낮은 레벨에 보존될 수 있다. 상기 소자 분리 막(229)을 리세스하는 동안 상기 버퍼 막(225) 또한 제거될 수 있다. 상기 활성 영역(223)의 상부 표면이 노출될 수 있다. 상기 소자 분리 막(229)의 리세스에는 에치-백(etch-back) 공정이 적용될 수 있다.
도 16 및 도 20을 참조하면, 상기 활성 영역(223) 상에 가설 게이트유전 막(231), 가설 게이트 전극(233), 제1 마스크 패턴(235) 및 제2 마스크 패턴(237)이 형성될 수 있다. 상기 가설 게이트 전극(233)은 박막 형성 공정, 화학 기계적 연마(chemical mechanical polishing; CMP) 공정, 및 패터닝 공정을 이용하여 형성될 수 있다.
상기 가설 게이트 전극(233)은 상기 활성 영역(223)을 가로지를 수 있다. 상기 가설 게이트 전극(233)은 상기 활성 영역(223)의 측면들 및 상부 표면을 덮을 수 있다. 상기 가설 게이트유전 막(231)은 상기 활성 영역(223) 및 상기 가설 게이트 전극(233) 사이에 형성될 수 있다. 상기 가설 게이트유전 막(231)은 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다. 상기 가설 게이트 전극(233)은 폴리실리콘을 포함할 수 있다. 상기 제1 마스크 패턴(235)은 실리콘 산화물을 포함할 수 있다. 상기 제2 마스크 패턴(237)은 실리콘 질화물을 포함할 수 있다.
도 16 및 도 21을 참조하면, 상기 가설 게이트 전극(233)의 측면들 상에 제1 스페이서(242)가 형성될 수 있다. 상기 활성 영역(223) 내에 엘디디(lightly doped drain; LDD; 243) 및 헤일로(halo; 245)가 형성될 수 있다.
상기 제1 스페이서(242)는 상기 가설 게이트 전극(233), 상기 제1 마스크 패턴(235) 및 상기 제2 마스크 패턴(237)의 측면들을 덮을 수 있다. 상기 제1 스페이서(242)는 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, 또는 이들의 조합과 같은 절연 막을 포함할 수 있다. 예를 들면, 상기 제1 스페이서(242)는 실리콘 질화물일 수 있다.
상기 제2 마스크 패턴(237) 및 상기 제1 스페이서(242)를 이온주입 마스크로 이용하여 상기 엘디디(lightly doped drain; LDD; 243) 및 상기 헤일로(halo; 245)가 형성될 수 있다. 상기 엘디디(LDD; 243)는 상기 가설 게이트 전극(233)의 외측에 인접한 상기 활성 영역(223) 내에 형성될 수 있다. 상기 엘디디(LDD; 243)는 상기 제1 스페이서(242)의 하부에 확산될 수 있다. 상기 엘디디(LDD; 243)는 상기 웰(well; 222)과 다른 도전형의 불순물들을 포함할 수 있다. 예를 들면, 상기 엘디디(LDD; 243)는 p-형 불순물들을 포함할 수 있다. 상기 엘디디(LDD; 243)는 보론(B)을 포함할 수 있다.
상기 헤일로(halo; 245)는 상기 엘디디(LDD; 243)의 외측에 형성될 수 있다. 상기 헤일로(halo; 245)는 상기 엘디디(LDD; 243)의 바닥을 덮고 상기 엘디디(LDD; 243)의 측면을 덮을 수 있다. 상기 헤일로(halo; 245)는 상기 엘디디(LDD; 243)와 다른 도전형의 불순물들을 포함할 수 있으며, 상기 헤일로(halo; 245)는 상기 웰(well; 222)과 동일한 도전형의 불순물들을 포함할 수 있다. 예를 들면, 상기 헤일로(halo; 245)는 n형 불순물들을 포함할 수 있다. 상기 헤일로(halo; 245)의 n형 불순물 농도는 상기 웰(well; 222) 보다 높을 수 있다.
도 16 및 도 22를 참조하면, 상기 제1 스페이서(242) 상에 제2 스페이서(247)가 형성될 수 있다. 상기 제2 스페이서(247)를 이온 주입 마스크로 이용하여 상기 활성 영역(223)에 고속 식각 영역(faster etch rate part; 249)이 형성될 수 있다. 상기 고속 식각 영역(249)은 인(P)을 포함할 수 있다.
상기 제2 스페이서(247)는 상기 기판(221) 상을 컨포말하게 덮을 수 있다. 상기 제2 스페이서(247)는 상기 가설 게이트 전극(233)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들면, 상기 제2 스페이서(247)는 실리콘 질화물을 포함할 수 있다.
상기 제2 스페이서(247)의 두께를 조절하여 상기 고속 식각 영역(249)을 원하는 위치에 형성할 수 있다. 상기 고속 식각 영역(249)은 상기 엘디디(LDD; 243) 내에 형성될 수 있다. 상기 고속 식각 영역(249)의 바닥은 상기 엘디디(LDD; 243)의 바닥보다 높을 레벨에 형성될 수 있다. 상기 고속 식각 영역(249)은 상기 가설 게이트 전극(233)의 외측에 정렬되도록 형성될 수 있다. 상기 활성 영역(223)은 상기 가설 게이트 전극(233)의 하부에 보존될 수 있다. 상기 엘디디(LDD; 243)는 상기 가설 게이트 전극(233)의 하부에 보존될 수 있다. 상기 고속 식각 영역(249) 및 상기 활성 영역(223) 사이에 상기 엘디디(LDD; 243)가 보존될 수 있다.
도 16 및 도 23을 참조하면, 상기 제2 스페이서(247) 상에 제3 스페이서(251)가 형성될 수 있다. 상기 제3 스페이서(251)를 형성하는 것은 박막 형성공정 및 이방성 식각 공정을 포함할 수 있다. 상기 제3 스페이서(251)를 형성하는 동안 상기 제2 스페이서(247) 및 상기 제1 스페이서(242)가 부분적으로 제거되어 상기 고속 식각 영역(249)의 상부표면이 노출될 수 있다. 상기 제2 스페이서(247) 및 상기 제1 스페이서(242)는 상기 가설 게이트 전극(233) 및 상기 제3 스페이서(251) 사이에 보존될 수 있다.
도 16 및 도 24를 참조하면, 상기 고속 식각 영역(249) 및 상기 엘디디(LDD; 243)를 식각하여 제1 트렌치(253)가 형성될 수 있다. 상기 제1 트렌치(253)는 상기 고속 식각 영역(249)에 정렬된 상부 트렌치(253A) 및 상기 상부 트렌치(253A)의 바닥에 연통된 하부 트렌치(253B)를 포함할 수 있다. 상기 상부 트렌치(253A)는 상기 엘디디(LDD; 243) 내에 형성될 수 있다. 상기 상부 트렌치(253A)에 의하여 상기 제1 스페이서(242), 상기 제2 스페이서(247) 및 상기 제3 스페이서(251)의 하부에 언더-컷(under-cut)이 형성될 수 있다. 상기 제1 트렌치(253)의 측벽은 단차(step)를 보일 수 있다. 예를 들면, 상기 상부 트렌치(253A) 및 상기 하부 트렌치(253B)에 의하여 상기 엘디디(LDD; 243)의 노출된 측면은 단차(step)를 보일 수 있다.
상기 제1 트렌치(253)를 형성하는 것은 건식 식각 공정, 습식 식각 공정, 또는 이들의 조합이 적용될 수 있다. 상기 제1 트렌치(253)를 형성하는 것은 등방성 식각 공정, 이방성 식각 공정, 또는 이들의 조합이 적용될 수 있다. 예를 들면, 상기 제1 트렌치(253)를 형성하는 것은 HBr, CF4, O2, Cl2, NF3, 또는 이들의 조합을 사용하는 등방성 건식 식각 공정을 포함할 수 있다. 본 발명자들이 확인한 바에 따르면, 인(P)을 함유하는 단결정 실리콘은 보론(B)을 함유하는 단결정 실리콘에 비하여 빠른 식각 속도를 보일 수 있다. 상기 고속 식각 영역(249)은 상기 엘디디(LDD; 243)에 비하여 상대적으로 빠른 식각 속도를 보일 수 있다. 상기 고속 식각 영역(249) 및 상기 엘디디(LDD; 243)의 구성에 기인하여 상기 상부 트렌치(253A) 및 상기 하부 트렌치(253B)의 크기와 모양이 결정될 수 있다.
도 16 및 도 25a를 참조하면, 방향성 식각(directional etch)공정을 이용하여 제2 트렌치(255)가 형성될 수 있다. 예를 들면, 상기 제2 트렌치(255)의 형성에는 NH4OH, NH3OH, TMAH(Tetra Methyl Ammonium Hydroxide), KOH, NaOH, BTMH(benzyltrimethylammonium hydroxide), 또는 이들의 조합을 사용하는 습식 식각 공정이 적용될 수 있다. 상기 방향성 식각(directional etch) 공정은 상기 활성 영역(223)의 결정방향에 의존하여 서로 다른 식각 속도를 보일 수 있다. 상기 제2 트렌치(255)는 상기 엘디디(LDD; 243)를 관통할 수 있다. 상기 엘디디(LDD; 243)는 상기 제2 트렌치(255) 및 상기 활성 영역(223) 사이에 보존될 수 있다.
상기 활성 영역(223)은 제1 표면(223SU), 제1 측면(223S1), 제2 측면(223S2), 제3 측면(223S3), 및 제2 표면(223S4)을 포함할 수 있다. 상기 제1 측면(223S1), 상기 제2 측면(223S2), 및 상기 제3 측면(223S3)은 {111}면({111}surface)일 수 있다. 상기 제1 표면(223SU)은 상기 활성 영역(223)의 상단에 형성될 수 있다. 상기 제1 측면(223S1)은 상기 제1 표면(223SU)과 연결될 수 있다. 상기 제1 측면(223S1)은 상기 제1 표면(223SU)에 대하여 예각을 이룰 수 있다. 상기 제2 측면(223S2)은 상기 제1 측면(223S1)의 아래에 형성될 수 있다. 상기 제2 측면(223S2)은 상기 제1 측면(223S1)과 다른 경사를 보일 수 있다. 상기 제2 측면(223S2)은 상기 기판(221)에 수직할 수 있다. 상기 제3 측면(223S3)은 상기 제2 측면(223S2)의 아래에 형성될 수 있다. 상기 제3 측면(223S3)은 상기 제2 측면(223S2)과 다른 경사를 보일 수 있다. 상기 제2 표면(223S4)은 상기 제2 트렌치(255)의 바닥에 노출될 수 있다. 상기 제2 표면(223S4)은 상기 제3 측면(223S3)과 연결될 수 있다.
도 25b를 참조하면, 제2 트렌치(255A)는 상기 활성 영역(223)의 결정방향에 의존하여 다양한 모양으로 형성될 수 있다. 예를 들면, 상기 제2 트렌치(255A)는 U-모양(U-shape)일 수 있다. 상기 제2 트렌치(255A) 내에 제1 측면(223S5) 및 제2 표면(223S4)이 노출될 수 있다. 상기 제1 측면(223S5)은 제1 표면(223SU)과 연결될 수 있다. 상기 제1 측면(223S5)은 상기 제1 표면(223SU)에 대하여 수직할 수 있다. 상기 제2 표면(223S4)은 상기 제2 트렌치(255)의 바닥에 노출될 수 있다. 상기 제2 표면(223S4)은 상기 제1 측면(223S5)과 연결될 수 있다.
도 25c를 참조하면, 제2 트렌치(255B) 내에 제1 측면(223S1), 제2 측면(223S2), 및 제2 표면(223S4)이 노출될 수 있다. 상기 제1 측면(223S1)은 제1 표면(223SU)과 연결될 수 있다. 상기 제1 측면(223S1)은 상기 제1 표면(223SU)에 대하여 예각을 이룰 수 있다. 상기 제2 측면(223S2)은 상기 제1 측면(223S1)의 아래에 형성될 수 있다. 상기 제2 표면(223S4)은 상기 제2 트렌치(255B)의 바닥에 노출될 수 있다. 상기 제2 표면(223S4)은 상기 제2 측면(223S2)과 연결될 수 있다.
도 16 및 도 26을 참조하면, 상기 제2 트렌치(255) 내에 제2 반도체 막(262)이 형성될 수 있다. 상기 제2 반도체 막(262)은 선택적 에피 성장(selective epitaxial growth; SEG) 방법에 의한 보론(B) 도프드(doped) 단결정 SiGe를 포함할 수 있다. 상기 제2 반도체 막(262) 내에서 Ge의 함량은 25-50% 일 수 있다. 상기 제2 반도체 막(262)은 1E20 - 3E20 atom/㎤ 의 보론(B)을 함유할 수 있다. 상기 제2 반도체 막(262)은 상기 제2 트렌치(255)를 완전히 채울 수 있다. 상기 제2 반도체 막(262)의 상단은 상기 활성 영역(223)보다 높은 레벨에 돌출될 수 있다.
도 16 및 도 27을 참조하면, 상기 제2 반도체 막(262) 상에 제3 반도체 막(263)이 형성될 수 있다. 상기 제3 반도체 막(263)은 선택적 에피 성장(selective epitaxial growth; SEG) 방법에 의한 보론(B) 도프드(doped) 단결정 Si을 포함할 수 있다. 상기 제3 반도체 막(263)은 1E20 - 3E20 atom/㎤ 의 보론(B)을 함유할 수 있다. 상기 제2 반도체 막(62) 및 상기 제3 반도체 막(63)은 내장 스트레서(embedded stressor; 265)를 구성할 수 있다.
다른 실시 예에서, 상기 제3 반도체 막(263)은 생략될 수 있다.
도 16 및 도 28을 참조하면, 상기 기판(221) 상에 층간 절연 막(271)이 형성될 수 있다.
도 16 및 도 29를 참조하면, 상기 층간 절연 막(271)을 부분적으로 제거하고, 상기 제2 마스크 패턴(237) 및 상기 제1 마스크 패턴(235)을 제거하여 상기 가설 게이트 전극(233)이 노출될 수 있다.
도 16 및 도 30을 참조하면, 상기 가설 게이트 전극(233) 및 상기 가설 게이트유전 막(231)을 제거하여 상기 활성 영역(223)을 노출하는 게이트 트렌치(233T)가 형성될 수 있다.
도 16 및 도 31a를 참조하면, 상기 게이트 트렌치(233T) 내에 제1 게이트 유전 막(273), 제2 게이트 유전 막(275), 및 게이트 전극(279)이 형성될 수 있다. 상기 내장 스트레서(265)는 웨지(wedge)-모양을 보일 수 있다.
상기 제1 게이트 유전 막(273)은 상기 활성 영역(223) 상에 형성될 수 있다. 상기 제1 게이트 유전 막(273)은 계면 산화 막(interfacial oxide layer)으로 지칭될 수 있다. 상기 제1 게이트 유전 막(273)은 세정 공정을 이용하여 형성될 수 있다. 상기 제1 게이트 유전 막(273)은 실리콘 산화물을 포함할 수 있다. 상기 제2 게이트 유전 막(275)은 실리콘 산화물, 실리콘 질화물, 실리콘 산-질화물, High-K 유전막, 또는 이들의 조합을 포함할 수 있다. 상기 제2 게이트 유전 막(275)은 상기 게이트 전극(279)의 측면 및 바닥을 감쌀 수 있다. 상기 제1 게이트 유전 막(273)은 상기 활성 영역(223) 및 상기 제2 게이트 유전 막(275) 사이에 개재될 수 있다.
도 31b를 참조하면, 내장 스트레서(265)는 제2 반도체 막(262A) 및 제3 반도체 막(263)을 포함할 수 있다. 상기 내장 스트레서(265)는 U-모양(U-shape)일 수 있다.
도 31c를 참조하면, 내장 스트레서(265)는 제2 반도체 막(262B) 및 제3 반도체 막(263)을 포함할 수 있다. 상기 내장 스트레서(265)는 피라미드 모양, 또는 연필 모양일 수 있다.
도 32는 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 레이아웃이다. 도 33 내지 도 35, 및 도 37은 본 발명 기술적 사상의 실시 예들에 따른 반도체 소자의 형성 방법을 설명하기 위한 단면도들이고, 도 36은 도 35의 일부분을 상세히 보여주는 확대단면도이며, 도 38은 도 37의 일부분을 상세히 보여주는 확대단면도이다.
도 32를 참조하면, 반도체 칩(100)은 제1 영역(102) 및 제2 영역(101C)을 포함할 수 있다. 상기 제1 영역(102)은 로직(logic) 영역으로 지칭될 수 있다. 상기 제2 영역(101C)은 셀 어레이(cell array) 영역으로 지칭될 수 있다. 상기 제1 영역(102) 및 상기 제2 영역(101C)에는 다수의 PMOS 트랜지스터들이 형성될 수 있다. 상기 제2 영역(101C)은 상기 제1 영역(102)보다 패턴 밀도가 높을 수 있다.
상기 반도체 칩(100)은 마이크로프로세서(microprocessor)일 수 있다. 상기 반도체 칩(100)은 메모리 영역(101)을 포함할 수 있다. 상기 메모리 영역(101)은 상기 제2 영역(101C) 및 에스램-페리(SRAM-peri.; 101P)를 포함할 수 있다. 상기 제2 영역(101C)은 에스램(SRAM)과 같은 메모리 셀들을 포함할 수 있다. 상기 제1 영역(102)은 상기 메모리 영역(101)에 인접하게 형성될 수 있다.
도 32 및 도 33을 참조하면, 기판(21) 상의 상기 제1 영역(102)에 제1 웰(well; 22), 제1 활성 영역(23), 제1 소자 분리 막(29), 제1 버퍼 막(31), 제1 가설 게이트 전극(33), 제1 하부 마스크 패턴(35), 및 제1 상부 마스크 패턴(37)이 형성될 수 있다. 상기 기판(21)은 제1 도전형 불순물들을 포함할 수 있다. 상기 제1 웰(well; 22)은 상기 제1 도전형과 다른 제2 도전형 불순물들을 포함할 수 있다. 이하에서는 상기 제1 도전형이 p형이고 상기 제2 도전형은 n형인 경우를 상정하여 설명하기로 한다.
상기 제1 소자 분리 막(29)에 의하여 상기 제1 웰(well; 22) 내에 상기 제1 활성 영역(23)이 한정될 수 있다. 상기 제1 가설 게이트 전극(33)은 상기 제1 활성 영역(23)을 가로지르도록 형성될 수 있다. 상기 제1 상부 마스크 패턴(37), 상기 제1 하부 마스크 패턴(35), 상기 제1 가설 게이트 전극(33), 및 상기 제1 버퍼 막(31)은 제1 가설 게이트 패턴(31, 33, 35, 37)으로 지칭될 수 있다. 상기 제1 가설 게이트 패턴(31, 33, 35, 37)은 상기 제1 활성 영역(23)을 가로지를 수 있다. 상기 제1 가설 게이트 패턴(31, 33, 35, 37)은 상기 제1 활성 영역(23) 상에 서로 평행하게 여러 개 형성될 수 있다.
상기 제1 가설 게이트 전극(33)의 측벽 상에 제1 내측 스페이서(42)가 형성될 수 있다. 상기 제1 내측 스페이서(42), 상기 제1 상부 마스크 패턴(37), 상기 제1 하부 마스크패턴(35), 및 상기 제1 가설 게이트 전극(33)을 이온 주입 마스크로 사용하여 상기 제1 활성 영역(23)에 상기 제1 도전형 불순물을 주입하여 제1 엘디디(lightly doped drain; LDD; 43)가 형성될 수 있다. 상기 제1 활성 영역(23)에 상기 제2 도전형 불순물을 주입하여 제1 헤일러(halo; 45)가 형성될 수 있다. 상기 제1 헤일러(halo; 45)는 상기 제1 엘디디(LDD; 43)의 측면 및 바닥을 덮을 수 있다. 상기 제1 엘디디(LDD; 43) 및 상기 제1 헤일러(halo; 45)를 형성하는 것은 이온 주입 공정 및 열처리 공정을 포함할 수 있다.
상기 제1 내측 스페이서(42) 상에 제1 중간 스페이서(47)가 형성될 수 있다. 상기 제1 중간 스페이서(47)를 이온 주입 마스크로 이용하여 상기 제1 활성 영역(23) 내에 제1 고속 식각 영역(faster etch rate part; 49)이 형성될 수 있다. 상기 제1 중간 스페이서(47) 상에 제1 외측 스페이서(51)가 형성될 수 있다. 상기 제1 외측 스페이서(51)를 형성하는 것은 박막 형성공정 및 이방성 식각 공정을 포함할 수 있다. 상기 제1 고속 식각 영역(49)의 상부표면이 노출될 수 있다.
상기 기판(21) 상의 상기 제2 영역(101C)에 제2 웰(well; 322), 제2 활성 영역(323), 제2 소자 분리 막(329), 제2 버퍼 막(331), 제2 가설 게이트 전극(333), 제2 하부 마스크 패턴(335), 및 제2 상부 마스크 패턴(337)이 형성될 수 있다. 상기 제2 웰(well; 322)은 제2 도전형 불순물들을 포함할 수 있다.
상기 제2 소자 분리 막(329)에 의하여 상기 제2 웰(well; 322) 내에 상기 제2 활성 영역(323)이 한정될 수 있다. 상기 제2 가설 게이트 전극(333)은 상기 제2 활성 영역(323)을 가로지르도록 형성될 수 있다. 상기 제2 상부 마스크 패턴(337), 상기 제2 하부 마스크 패턴(335), 상기 제2 가설 게이트 전극(333), 및 상기 제2 버퍼 막(331)은 제2 가설 게이트 패턴(331, 333, 335, 337)으로 지칭될 수 있다. 상기 제2 가설 게이트 패턴(331, 333, 335, 337)은 상기 제2 활성 영역(323)을 가로지를 수 있다. 상기 제2 가설 게이트 패턴(331, 333, 335, 337)은 상기 제2 활성 영역(323) 상에 서로 평행하게 여러 개 형성될 수 있다.
상기 제2 가설 게이트 전극(333)의 측벽 상에 제2 내측 스페이서(342)가 형성될 수 있다. 상기 제2 내측 스페이서(342), 상기 제2 상부 마스크 패턴(337), 상기 제2 하부 마스크패턴(335), 및 상기 제2 가설 게이트 전극(333)을 이온 주입 마스크로 사용하여 상기 제2 활성 영역(323)에 상기 제1 도전형 불순물을 주입하여 제2 엘디디(lightly doped drain; LDD; 343)가 형성될 수 있다. 상기 제2 활성 영역(323)에 상기 제2 도전형 불순물을 주입하여 제2 헤일러(halo; 345)가 형성될 수 있다. 상기 제2 헤일러(halo; 345)는 상기 제2 엘디디(LDD; 343)의 측면 및 바닥을 덮을 수 있다. 상기 제2 엘디디(LDD; 343) 및 상기 제2 헤일러(halo; 345)를 형성하는 것은 이온 주입 공정 및 열처리 공정을 포함할 수 있다.
상기 제2 내측 스페이서(342) 상에 제2 중간 스페이서(347)가 형성될 수 있다. 상기 제2 중간 스페이서(347)를 이온 주입 마스크로 이용하여 상기 제2 활성 영역(323) 내에 제2 고속 식각 영역(faster etch rate part; 349)이 형성될 수 있다. 상기 제2 중간 스페이서(347) 상에 제2 외측 스페이서(351)가 형성될 수 있다. 상기 제2 외측 스페이서(351)를 형성하는 것은 박막 형성공정 및 이방성 식각 공정을 포함할 수 있다. 상기 제2 고속 식각 영역(349)의 상부표면이 노출될 수 있다.
상기 제1 엘디디(LDD; 43) 및 상기 제2 엘디디(LDD; 343)는 보론(B)을 포함할 수 있다. 상기 제2 엘디디(LDD; 343)는 상기 제1 엘디디(LDD; 43)보다 높은 농도의 보론(B)을 포함할 수 있다. 상기 제1 고속 식각 영역(49) 및 상기 제2 고속 식각 영역(349)은 인(P)을 포함할 수 있다. 상기 제2 고속 식각 영역(349)은 상기 제1 고속 식각 영역(49)보다 낮은 농도의 인(P)을 포함할 수 있다.
몇몇 실시 예에서, 상기 제2 엘디디(LDD; 343)는 상기 제1 엘디디(LDD; 43)보다 높은 농도의 보론(B)을 포함하고, 상기 제2 고속 식각 영역(349)은 상기 제1 고속 식각 영역(49)보다 낮은 농도의 인(P)을 포함할 수 있다.
다른 실시 예에서, 상기 제2 고속 식각 영역(349)은 생략될 수 있다.
도 32 및 도 34를 참조하면, 상기 제1 고속 식각 영역(49), 상기 제1 엘디디(LDD; 43), 및 상기 제1 헤일러(halo; 45)를 식각하여 제1 예비 트렌치(53)가 형성될 수 있다. 상기 제1 예비 트렌치(53)는 상기 제1 고속 식각 영역(49)에 정렬된 제1 상부 트렌치(53A) 및 상기 제1 상부 트렌치(53A)의 바닥에 연통된 제1 하부 트렌치(53B)를 포함할 수 있다. 상기 제1 상부 트렌치(53A)는 상기 제1 엘디디(LDD; 43) 내에 형성될 수 있다. 상기 제1 하부 트렌치(53B)는 상기 제1 엘디디(LDD; 43)를 관통하여 상기 제1 헤일러(halo; 45) 내에 형성될 수 있다. 상기 제1 하부 트렌치(53B)는 상기 제1 상부 트렌치(53A)보다 좁은 폭을 보일 수 있다. 상기 제1 예비 트렌치(53)의 측벽은 단차(step)를 보일 수 있다. 예를 들면, 상기 제1 상부 트렌치(53A) 및 상기 제1 하부 트렌치(53B)에 의하여 상기 제1 엘디디(LDD; 43)의 노출된 측면은 단차(step)를 보일 수 있다.
상기 제2 고속 식각 영역(349), 상기 제2 엘디디(LDD; 343), 및 상기 제2 헤일러(halo; 345)를 식각하여 제2 예비 트렌치(353)가 형성될 수 있다. 상기 제2 예비 트렌치(353)는 상기 제2 고속 식각 영역(349)에 정렬된 제2 상부 트렌치(353A) 및 상기 제2 상부 트렌치(353A)의 바닥에 연통된 제2 하부 트렌치(353B)를 포함할 수 있다. 상기 제2 상부 트렌치(353A)는 상기 제2 엘디디(LDD; 343) 내에 형성될 수 있다. 상기 제2 하부 트렌치(353B)는 상기 제2 엘디디(LDD; 343)를 관통하여 상기 제2 헤일러(halo; 345) 내에 형성될 수 있다. 상기 제2 하부 트렌치(353B)는 상기 제2 상부 트렌치(353A)보다 좁은 폭을 보일 수 있다. 상기 제2 예비 트렌치(353)의 측벽은 단차(step)를 보일 수 있다. 예를 들면, 상기 제2 상부 트렌치(353A) 및 상기 제2 하부 트렌치(353B)에 의하여 상기 제2 엘디디(LDD; 343)의 노출된 측면은 단차(step)를 보일 수 있다.
상기 제1 예비 트렌치(53) 및 상기 제2 예비 트렌치(353)를 형성하는 것은 건식 식각 공정, 습식 식각 공정, 또는 이들의 조합이 적용될 수 있다. 상기 제1 예비 트렌치(53) 및 상기 제2 예비 트렌치(353)를 형성하는 것은 등방성 식각 공정, 이방성 식각 공정, 또는 이들의 조합이 적용될 수 있다. 예를 들면, 상기 제1 예비 트렌치(53) 및 상기 제2 예비 트렌치(353)를 형성하는 것은 HBr, CF4, O2, Cl2, NF3, 또는 이들의 조합을 사용하는 등방성 건식 식각 공정을 포함할 수 있다. 본 발명자들이 확인한 바에 따르면, 인(P)을 함유하는 단결정 실리콘은 보론(B)을 함유하는 단결정 실리콘에 비하여 빠른 식각 속도를 보일 수 있다. 상기 제2 엘디디(LDD; 343)는 상기 제1 엘디디(LDD; 43)보다 높은 농도의 보론(B)을 포함하는 경우, 상기 제2 엘디디(LDD; 343)는 상기 제1 엘디디(LDD; 43)보다 느린 식각 속도를 보일 수 있다. 상기 제2 고속 식각 영역(349)은 상기 제1 고속 식각 영역(49)보다 낮은 농도의 인(P)을 포함하는 경우, 상기 제2 고속 식각 영역(349)은 상기 제1 고속 식각 영역(49)보다 느린 식각 속도를 보일 수 있다.
상기 제1 고속 식각 영역(49), 상기 제2 고속 식각 영역(349), 상기 제1 엘디디(LDD; 43) 및 상기 제2 엘디디(LDD; 343)의 구성에 기인하여 상기 제1 상부 트렌치(53A), 상기 제1 하부 트렌치(53B), 상기 제2 상부 트렌치(353A), 및 상기 제2 하부 트렌치(353B)의 크기와 모양이 결정될 수 있다. 상기 제1 고속 식각 영역(49), 상기 제2 고속 식각 영역(349), 상기 제1 엘디디(LDD; 43) 및 상기 제2 엘디디(LDD; 343)의 구성을 이용하여 상기 제1 예비 트렌치(53) 및 상기 제2 예비 트렌치(353)의 크기, 모양, 위치를 원하는 대로 조절할 수 있다.
도 32 및 도 35를 참조하면, 방향성 식각(directional etch)공정을 이용하여 상기 제1 활성 영역(23) 내에 제1 트렌치(55) 및 상기 제2 활성 영역(323) 내에 제2 트렌치(355)가 형성될 수 있다. 예를 들면, 상기 제1 트렌치(55) 및 상기 제2 트렌치(355)의 형성에는 NH4OH, NH3OH, TMAH(Tetra Methyl Ammonium Hydroxide), KOH, NaOH, BTMH(benzyltrimethylammonium hydroxide), 또는 이들의 조합을 사용하는 습식 식각 공정이 적용될 수 있다.
상기 제1 트렌치(55)에 의하여 상기 제1 활성 영역(23)은 제1 시그마-모양(∑-shape)을 보일 수 있다. 상기 제1 엘디디(LDD; 43)는 상기 제1 가설 게이트 패턴(31, 33, 35, 37)의 하부에 보존될 수 있다. 상기 제1 활성 영역(23)은 제1 표면(23SU), 제1 측면(23S1), 제2 측면(23S2), 및 제2 표면(23S3)을 포함할 수 있다. 상기 제1 측면(23S1) 및 상기 제1 표면(23SU) 사이에 제1 모서리(E1)가 정의될 수 있다. 상기 제1 측면(23S1) 및 상기 제2 측면(23S2) 사이에 제2 모서리(E2)가 정의될 수 있다.
상기 제2 트렌치(355)에 의하여 상기 제2 활성 영역(323)은 제2 시그마-모양(∑-shape)을 보일 수 있다. 상기 제2 엘디디(LDD; 343)는 상기 제2 가설 게이트 패턴(331, 333, 335, 337)의 하부에 보존될 수 있다. 상기 제2 활성 영역(323)은 제3 표면(323SU), 제3 측면(323S1), 제4 측면(323S2), 및 제4 표면(323S3)을 포함할 수 있다. 상기 제3 측면(323S1) 및 상기 제3 표면(323SU) 사이에 제3 모서리(E31)가 정의될 수 있다. 상기 제3 측면(323S1) 및 상기 제4 측면(323S2) 사이에 제4 모서리(E32)가 정의될 수 있다.
상기 제1 트렌치(55)는 상기 제1 예비 트렌치(53)의 확장으로 해석될 수 있으며, 상기 제2 트렌치(355)는 상기 제2 예비 트렌치(353)의 확장으로 해석될 수 있다.
도 32 및 도 36을 참조하면, 상기 제1 트렌치(55)는 상기 제1 엘디디(LDD; 43) 및 상기 제1 헤일러(halo; 45)를 관통할 수 있다. 상기 제1 표면(23SU)은 상기 제1 활성 영역(23)의 상단에 정의될 수 있다. 예를 들면, 상기 제1 표면(23SU)은 상기 제1 버퍼 막(31)에 접촉되고 상기 제1 내측 스페이서(42)의 하부에 연장될 수 있다. 상기 제1 측면(23S1), 상기 제2 측면(23S2), 및 상기 제2 표면(23S3)은 상기 제1 트렌치(55)에 노출될 수 있다. 상기 제1 측면(23S1)은 상기 제1 표면(23SU)과 연결될 수 있다. 상기 제1 측면(23S1)은 상기 제1 표면(23SU)에 대하여 예각을 이룰 수 있다. 상기 제2 측면(23S2)은 상기 제1 측면(23S1)의 아래에 형성될 수 있다. 상기 제2 측면(23S2)은 상기 제1 측면(23S1)과 다른 경사를 보일 수 있다. 상기 제2 측면(23S2)은 상기 기판(21)에 평행하고 상기 제2 표면(23S3)을 지나는 수평 연장선에 대하여 예각을 이룰 수 있다. 상기 제2 표면(23S3)은 상기 제1 트렌치(55)의 바닥에 노출될 수 있다. 상기 제2 표면(23S3)은 상기 제2 측면(23S2)과 연결될 수 있다. 상기 제1 표면(23SU)은 상기 제1 활성 영역(23)의 상부 표면으로 해석될 수 있다.
상기 제2 트렌치(355)는 상기 제2 엘디디(LDD; 343) 및 상기 제2 헤일러(halo; 345)를 관통할 수 있다. 상기 제3 표면(323SU)은 상기 제2 활성 영역(323)의 상단에 정의될 수 있다. 예를 들면, 상기 제3 표면(323SU)은 상기 제2 버퍼 막(331)에 접촉되고 상기 제2 내측 스페이서(342)의 하부에 연장될 수 있다. 상기 제3 측면(323S1), 상기 제4 측면(323S2), 및 상기 제4 표면(323S3)은 상기 제2 트렌치(355)에 노출될 수 있다. 상기 제3 측면(323S1)은 상기 제3 표면(323SU)과 연결될 수 있다. 상기 제3 측면(323S1)은 상기 제3 표면(323SU)에 대하여 예각을 이룰 수 있다. 상기 제4 측면(323S2)은 상기 제3 측면(323S1)의 아래에 형성될 수 있다. 상기 제4 측면(323S2)은 상기 제3 측면(323S1)과 다른 경사를 보일 수 있다. 상기 제4 측면(323S2)은 상기 기판(21)에 평행하고 상기 제4 표면(323S3)을 지나는 수평 연장선에 대하여 예각을 이룰 수 있다. 상기 제4 표면(323S3)은 상기 제2 트렌치(355)의 바닥에 노출될 수 있다. 상기 제4 표면(323S3)은 상기 제4 측면(323S2)과 연결될 수 있다. 상기 제3 표면(323SU)은 상기 제2 활성 영역(323)의 상부 표면으로 해석될 수 있다.
상기 제1 엘디디(LDD; 43) 및 상기 제1 고속 식각 영역(49)의 구성을 이용하여 상기 제1 모서리(E1) 및 상기 제2 모서리(E2)의 위치를 원하는 위치에 형성할 수 있다. 예를 들면, 상기 제1 모서리(E1)는 상기 제1 내측 스페이서(42)의 하부에 형성될 수 있으며, 상기 제2 모서리(E2)는 상기 제1 가설 게이트 전극(33)의 하부에 중첩되도록 형성될 수 있다. 상기 제1 모서리(E1) 및 상기 제2 모서리(E2)는 상기 제1 엘디디(LDD; 43)의 표면에 위치할 수 있다. 상기 제1 측면(23S1)에 상기 제1 엘디디(LDD; 43)가 노출될 수 있다. 상기 제2 측면(23S2)에 상기 제1 엘디디(LDD; 43), 상기 제1 헤일러(halo; 45) 및 상기 제1 활성 영역(23)이 노출될 수 있다.
상기 제2 엘디디(LDD; 343) 및 상기 제2 고속 식각 영역(349)의 구성을 이용하여 상기 제3 모서리(E31) 및 상기 제4 모서리(E32)의 위치를 원하는 위치에 형성할 수 있다. 예를 들면, 상기 제3 모서리(E31)는 상기 제2 내측 스페이서(342)의 하부에 형성될 수 있으며, 상기 제4 모서리(E32)는 상기 제2 가설 게이트 전극(333)의 외측에 정렬될 수 있다. 상기 제3 모서리(E31) 및 상기 제4 모서리(E32)는 상기 제2 엘디디(LDD; 343)의 표면에 위치할 수 있다. 상기 제3 측면(323S1)에 상기 제2 엘디디(LDD; 343)가 노출될 수 있다. 상기 제4 측면(323S2)에 상기 제2 엘디디(LDD; 343), 상기 제2 헤일러(halo; 345) 및 상기 제2 활성 영역(323)이 노출될 수 있다.
상기 제1 가설 게이트 전극(33)의 측면을 지나고 상기 기판(21)에 수직한 직선과 상기 제2 모서리(E2)사이의 제1 수평 거리(X1)가 정의될 수 있다. 상기 제1 표면(23SU)을 지나고 상기 기판(21)에 평행한 직선과 상기 제2 모서리(E2)사이의 제1 수직 높이(Y1)가 정의될 수 있다. 예를 들면, 상기 제1 수평 거리(X1)는 0 내지 -5nm일 수 있으며, 상기 제1 수직 높이(Y1)는 3nm 내지 7nm 일 수 있다. 상기 제1 수평 거리(X1)가 0일 경우 상기 제2 모서리(E2)가 상기 제1 가설 게이트 전극(33)의 측면에 수직 중첩된 것으로 해석될 수 있으며, 상기 제1 수평 거리(X1)가 음(-)의 수치를 보이는 경우 상기 제2 모서리(E2)가 상기 제1 가설 게이트 전극(33)의 하부에 수직 중첩된 것으로 해석될 수 있다.
상기 제2 가설 게이트 전극(333)의 측면을 지나고 상기 기판(21)에 수직한 직선과 상기 제4 모서리(E32)사이의 제2 수평 거리(X3)가 정의될 수 있다. 상기 제3 표면(323SU)을 지나고 상기 기판(21)에 평행한 직선과 상기 제4 모서리(E32) 사이의 제2 수직 높이(Y3)가 정의될 수 있다. 상기 제4 모서리(E32)는 상기 제2 모서리(E2)보다 낮은 레벨에 형성될 수 있다. 예를 들면, 상기 제2 수평 거리(X3)는 +1nm 내지 +3nm일 수 있으며, 상기 제2 수직 높이(Y3)는 8nm 내지 12nm 일 수 있다. 상기 제2 수평 거리(X3)가 양(+)의 수치를 보이는 경우 상기 제4 모서리(E32)가 상기 제2 가설 게이트 전극(333)의 외측에 정렬된 것으로 해석될 수 있다.
도 32 및 도 37을 참조하면, 상기 제1 트렌치(55) 내에 제1 반도체 막(61)이 형성될 수 있다. 상기 제1 반도체 막(61) 상에 제2 반도체 막(62)이 형성될 수 있다. 상기 제2 반도체 막(62) 상에 제3 반도체 막(63)이 형성될 수 있다. 상기 제1 반도체 막(61), 상기 제2 반도체 막(62) 및 상기 제3 반도체 막(63)은 제1 내장 스트레서(embedded stressor; 65)를 구성할 수 있다.
상기 제2 트렌치(355) 내에 제4 반도체 막(361)이 형성될 수 있다. 상기 제4 반도체 막(361) 상에 제5 반도체 막(362)이 형성될 수 있다. 상기 제5 반도체 막(362) 상에 제6 반도체 막(363)이 형성될 수 있다. 상기 제4 반도체 막(361), 상기 제5 반도체 막(362) 및 상기 제6 반도체 막(363)은 제2 내장 스트레서(embedded stressor; 365)를 구성할 수 있다. 상기 제4 반도체 막(361)은 상기 제1 반도체 막(61)과 동시에 형성된 동일한 물질막일 수 있으며, 상기 제5 반도체 막(362)은 상기 제2 반도체 막(62)과 동시에 형성된 동일한 물질막일 수 있고, 상기 제6 반도체 막(363)은 상기 제3 반도체 막(63)과 동시에 형성된 동일한 물질막일 수 있다.
상기 기판(21) 상에 제1 층간 절연 막(71) 및 제2 층간 절연 막(371)이 형성될 수 있다. 상기 제1 가설 게이트 패턴(31, 33, 35, 37)을 제거하여 상기 제1 활성 영역(23)이 노출될 수 있으며, 상기 제2 가설 게이트 패턴(331, 333, 335, 337)을 제거하여 상기 제2 활성 영역(323)이 노출될 수 있다.
상기 제1 활성 영역(23) 상에 제1 게이트 유전 막(73), 제2 게이트 유전 막(75), 제1 게이트 전극(77) 및 제2 게이트 전극(79)이 형성될 수 있다. 상기 제2 활성 영역(323) 상에 제3 게이트 유전 막(373), 제4 게이트 유전 막(375), 제3 게이트 전극(377) 및 제4 게이트 전극(379)이 형성될 수 있다. 상기 제3 게이트 유전 막(373)은 상기 제1 게이트 유전 막(73)과 유사한 구성을 보일 수 있으며, 상기 제4 게이트 유전 막(375)은 상기 제2 게이트 유전 막(75)과 유사한 구성을 보일 수 있고, 상기 제3 게이트 전극(377)은 상기 제1 게이트 전극(77)과 유사한 구성을 보일 수 있으며, 상기 제4 게이트 전극(379)은 상기 제2 게이트 전극(79)과 유사한 구성을 보일 수 있다.
도 32 및 도 38을 참조하면, 상기 제1 내장 스트레서(embedded stressor; 65)는 상기 제1 엘디디(LDD; 43) 및 상기 제1 헤일러(halo; 45)를 관통하여 상기 제1 활성 영역(23)에 접촉될 수 있다. 상기 제1 내장 스트레서(65)의 바닥은 상기 제1 헤일러(halo; 45)보다 낮은 레벨에 형성될 수 있다. 상기 제1 내장 스트레서(65)는 상기 제1 측면(23S1) 및 상기 제2 측면(23S2)에 접촉될 수 있다. 상기 제2 내장 스트레서(embedded stressor; 365)는 상기 제2 엘디디(LDD; 343) 및 상기 제2 헤일러(halo; 345)를 관통하여 상기 제2 활성 영역(323)에 접촉될 수 있다. 상기 제2 내장 스트레서(365)의 바닥은 상기 제2 헤일러(halo; 345)보다 낮은 레벨에 형성될 수 있다. 상기 제2 내장 스트레서(365)는 상기 제3 측면(323S1) 및 상기 제4 측면(323S2)에 접촉될 수 있다.
상기 제2 모서리(E2)는 상기 제1 엘디디(LDD; 43)의 표면에 형성될 수 있으며, 상기 제4 모서리(E32)는 상기 제2 엘디디(LDD; 343)의 표면에 형성될 수 있다. 상기 제2 모서리(E2)는 상기 제4 모서리(E32)보다 높은 레벨에 형성될 수 있다. 상기 제2 모서리(E2)는 상기 제1 게이트 전극(77)의 하부에 중첩될 수 있으며, 상기 제4 모서리(E32)는 상기 제3 게이트 전극(377)의 외측에 정렬될 수 있다.
도 39 및 도 40은 본 발명의 기술적 사상의 실시 예에 따른 전자 장치의 사시도 및 시스템 블록도이다.
도 39를 참조하면, 도 1 내지 도 38을 참조하여 설명한 것과 유사한 반도체 소자는 스마트 폰(1900), 넷북, 노트북, 또는 태블릿 PC와 같은 전자시스템들에 유용하게 적용될 수 있다. 예를 들면, 도 1 내지 도 38을 참조하여 설명한 것과 유사한 반도체 소자는 상기 스마트 폰(1900) 내의 메인보드에 탑재될 수 있다. 나아가서, 도 1 내지 도 38을 참조하여 설명한 것과 유사한 반도체 소자는 외장형 메모리 카드와 같은 확장장치로 제공되어 상기 스마트 폰(1900)에 결합되어 사용될 수도 있다.
도 40을 참조하면, 도 1 내지 도 38을 참조하여 설명한 것과 유사한 반도체 소자는 전자 시스템(2100)에 적용될 수 있다. 상기 전자 시스템(2100)은 바디(Body; 2110), 마이크로 프로세서 유닛(Micro Processor Unit; 2120), 파워 유닛(Power Unit; 2130), 기능 유닛(Function Unit; 2140), 및 디스플레이 컨트롤러 유닛(Display Controller Unit; 2150)을 포함할 수 있다. 상기 바디(2110)는 인쇄 회로기판(PCB)으로 형성된 마더 보드(Mother Board)일 수 있다. 상기 마이크로 프로세서 유닛(2120), 상기 파워 유닛(2130), 상기 기능 유닛(2140), 및 상기 디스플레이 컨트롤러 유닛(2150)은 상기 바디(2110)에 장착될 수 있다. 상기 바디(2110)의 내부 혹은 상기 바디(2110)의 외부에 디스플레이 유닛(2160)이 배치될 수 있다. 예를 들면, 상기 디스플레이 유닛(2160)은 상기 바디(2110)의 표면에 배치되어 상기 디스플레이 컨트롤러 유닛(2150)에 의해 프로세스 된 이미지를 표시할 수 있다.
상기 파워 유닛(2130)은 외부 배터리 등으로부터 일정 전압을 공급받아 이를 요구되는 전압 레벨로 분기하여 상기 마이크로 프로세서 유닛(2120), 상기 기능 유닛(2140), 상기 디스플레이 컨트롤러 유닛(2150) 등으로 공급하는 역할을 할 수 있다. 상기 마이크로 프로세서 유닛(2120)은 상기 파워 유닛(2130)으로부터 전압을 공급받아 상기 기능 유닛(2140)과 상기 디스플레이 유닛(2160)을 제어할 수 있다. 상기 기능 유닛(2140)은 다양한 전자 시스템(2100)의 기능을 수행할 수 있다. 예를 들어, 상기 전자 시스템(2100)이 휴대폰인 경우 상기 기능 유닛(2140)은 다이얼링, 또는 외부 장치(External Apparatus; 2170)와의 교신으로 상기 디스플레이 유닛(2160)으로의 영상 출력, 스피커로의 음성 출력 등과 같은 휴대폰 기능을 수행할 수 있는 여러 구성요소들을 포함할 수 있으며, 카메라가 함께 장착된 경우 카메라 이미지 프로세서(Camera Image Processor)의 역할을 할 수 있다.
응용 실시 예에서, 상기 전자 시스템(2100)이 용량 확장을 위해 메모리 카드 등과 연결되는 경우, 상기 기능 유닛(2140)은 메모리 카드 컨트롤러일 수 있다. 상기 기능 유닛(2140)은 유선 혹은 무선의 통신 유닛(Communication Unit; 2180)을 통해 상기 외부 장치(2170)와 신호를 주고 받을 수 있다. 더 나아가서, 상기 전자 시스템(2100)이 기능 확장을 위해 유에스비(Universal Serial Bus; USB) 등을 필요로 하는 경우, 상기 기능 유닛(2140)은 인터페이스 컨트롤러(Interface Controller)의 역할을 할 수 있다. 이에 더하여, 상기 기능 유닛(2140)은 대용량 저장 장치를 포함할 수 있다.
도 1 내지 도 38을 참조하여 설명한 것과 유사한 반도체 소자는 상기 기능 유닛(2140) 또는 상기 마이크로 프로세서 유닛(2120)에 적용될 수 있다. 예를 들면, 상기 마이크로 프로세서 유닛(2120)은 상기 내장 스트레서(embedded stressor; 65)를 포함할 수 있다. 상기 마이크로 프로세서 유닛(2120)은 상기 내장 스트레서(65)의 구성에 기인하여 종래에 비하여 우수한 전기적 특성을 보일 수 있다.
도 41은 본 발명의 기술적 사상이 적용된 실시 예들에 의한 반도체 소자들 중 적어도 하나를 포함하는 다른 전자 시스템(2400)을 개략적으로 도시한 블록도이다.
도 41을 참조하면, 전자 시스템(2400)은 본 발명의 기술적 사상의 다양한 실시 예들에 의한 반도체 소자들 중 적어도 하나를 포함할 수 있다. 전자 시스템(2400)은 모바일 기기 또는 컴퓨터를 제조하는데 사용될 수 있다. 예를 들어, 상기 전자 시스템(2400)은 메모리 시스템(2412), 마이크로프로세서(2414), 램(2416), 버스(2420), 및 유저 인터페이스(2418)를 포함할 수 있다. 상기 마이크로프로세서(2414), 상기 메모리 시스템(2412), 및 상기 유저 인터페이스(2418)는 상기 버스(2420)를 경유하여 상호 접속될 수 있다. 상기 유저 인터페이스(2418)는 상기 전자 시스템(2400)으로 데이터를 입력하거나 상기 전자 시스템(2400)으로부터 데이터를 출력하는데 사용될 수 있다. 상기 마이크로프로세서(2414)는 상기 전자 시스템(2400)을 프로그램 및 컨트롤할 수 있다. 상기 램(2416)은 상기 마이크로프로세서(2414)의 동작 메모리로 사용될 수 있다. 상기 마이크로프로세서(2414), 상기 램(2416) 및/또는 다른 구성 요소들은 단일 패키지 내에 조립될 수 있다. 상기 메모리 시스템(2412)은 상기 마이크로프로세서(2414) 동작용 코드들, 상기 마이크로프로세서(2414)에 의해 처리된 데이터, 또는 외부 입력 데이터를 저장할 수 있다. 상기 메모리 시스템(2412)은 컨트롤러 및 메모리를 포함할 수 있다.
도 1 내지 도 38을 참조하여 설명한 것과 유사한 반도체 소자는 상기 마이크로프로세서(2414), 상기 램(2416), 또는 상기 메모리 시스템(2412)에 적용될 수 있다. 예를 들면, 상기 마이크로프로세서(2414)는 상기 내장 스트레서(embedded stressor; 65)를 포함할 수 있다. 상기 마이크로프로세서(2414)는 상기 내장 스트레서(65)의 구성에 기인하여 종래에 비하여 우수한 전기적 특성을 보일 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시 예들을 개략적으로 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시 예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해하여야 한다.
21: 기판 22: 웰
23: 활성 영역 23SU: 제1 표면
23S1: 제1 측면 23S2: 제2 측면
23S3: 제2 표면 E1: 제1 모서리
E2: 제2 모서리 29: 소자분리 막
31: 버퍼 막 33: 가설 게이트 전극
35, 37: 마스크 패턴 42: 제1 스페이서
43: 엘디디(lightly doped drain; LDD)
45: 헤일러(halo) 47, 47A: 제2 스페이서
49: 고속 식각 영역(faster etch rate part)
51: 제3 스페이서 51T: 리세스 영역
53, 55: 트렌치
61, 61A, 61B: 제1 반도체 막 62: 제2 반도체 막
63: 제3 반도체 막
65, 65A, 65B: 내장 스트레서(embedded stressor)
71: 층간 절연 막 73: 제1 게이트 유전 막
75: 제2 게이트 유전 막 77: 제1 게이트 전극
79: 제2 게이트 전극
100: 반도체 칩
101: 메모리 영역 102: 제1 영역
101C: 제2 영역 101P: 에스램-페리(SRAM-peri)
131: 게이트 유전막
133: 제1 게이트 전극 134: 내측 스페이서
142: 제1 스페이서 147: 제2 스페이서
151: 제3 스페이서 181: 제2 게이트 전극
183: 식각 정지 막 185: 층간 절연 막
221: 기판 222: 웰
223: 활성 영역 225: 버퍼 막
229: 소자 분리 막 231: 가설 게이트유전 막
233: 가설 게이트 전극 235: 제1 마스크 패턴
237: 제2 마스크 패턴 242: 제1 스페이서
243: 엘디디(lightly doped drain; LDD)
245: 헤일러(halo) 247: 제2 스페이서
249: 고속 식각 영역(faster etch rate part)
251: 제3 스페이서
253, 255, 255A, 255B: 트렌치
62: 제2 반도체 막
263: 제3 반도체 막 265: 내장 스트레서(embedded stressor)
271: 층간 절연 막 273: 제1 게이트 유전 막
275: 제2 게이트 유전 막 279: 게이트 전극
322: 웰 323: 활성 영역
E31, E32: 모서리 329: 소자분리 막
331: 버퍼 막 333: 가설 게이트 전극
335, 337: 마스크 패턴 342, 347, 351: 스페이서
343: 엘디디(lightly doped drain; LDD)
345: 헤일러(halo)
349: 고속 식각 영역(faster etch rate part)
353, 355: 트렌치 361, 362, 363: 반도체 막
365: 내장 스트레서(embedded stressor)
371: 층간 절연 막 373, 375: 게이트 유전 막
377, 379: 게이트 전극1900: 스마트 폰
2100: 전자 시스템
2110: 바디 2120: 마이크로 프로세서 유닛
2130: 파워 유닛 2140: 기능 유닛
2150: 디스플레이 컨트롤러 유닛
2160: 디스플레이 유닛
2170: 외부 장치 2180: 통신 유닛
2400: 전자 시스템
2412: 메모리 시스템 2414: 마이크로프로세서
2416: 램 2418: 유저 인터페이스
2420: 버스

Claims (20)

  1. 활성 영역을 갖는 기판을 제공하고,
    상기 활성 영역에 엘디디(lightly doped drain; LDD)를 형성하고,
    상기 활성 영역에 인(P)을 함유하는 고속 식각 영역을 형성하고,
    상기 고속 식각 영역의 적어도 일부를 제거하여 제1 트렌치를 형성하고,
    방향성 식각 공정을 이용하여 상기 제1 트렌치를 확장하여 제2 트렌치를 형성하되, 상기 활성 영역은 상기 제2 트렌치에 의하여 형성된 시그마-모양(∑-shape)을 가지며,
    상기 제2 트렌치 내에 내장 스트레서(embedded stressor)를 형성하고,
    상기 활성영역 상에 게이트 전극을 형성하는 것을 포함하는 반도체 소자의 형성 방법.
  2. 제1 항에 있어서,
    상기 엘디디(LDD)는 보론(B)을 함유하는 반도체 소자의 형성 방법.
  3. 제1 항에 있어서,
    상기 제1 트렌치를 형성하는 것은 등방성 식각 공정을 포함하고,
    상기 제1 트렌치는 상기 고속 식각 영역에 정렬된 상부 트렌치, 및 상기 엘디디(LDD)를 관통하며 상기 상부 트렌치의 바닥에 연통되고 상기 상부 트렌치보다 좁은 폭을 갖는 하부 트렌치를 포함하되,
    상기 제1 트렌치의 측벽은 단차(step)를 갖는 반도체 소자의 형성 방법.
  4. 제3 항에 있어서,
    상기 제1 트렌치를 형성하는 것은 이방성 식각 공정을 더 포함하되,
    상기 이방성 식각 공정은 상기 등방성 식각 공정보다 앞서서 수행되는 반도체 소자의 형성 방법.
  5. 제1 항에 있어서,
    상기 활성 영역은 상부 표면, 상기 상부 표면 아래에 상기 내장 스트레서와 마주보는 제1 측면, 상기 제1 측면 아래에 상기 내장 스트레서와 마주보는 제2 측면, 상기 상부 표면 및 상기 제1 측면 사이의 제1 모서리, 및 상기 제1 측면과 상기 제2 측면 사이의 제2 모서리를 포함하고,
    상기 제1 측면은 상기 상부 표면에 대하여 예각을 갖고,
    상기 제2 측면은 상기 제1 측면과 다른 경사를 보이는 반도체 소자의 형성 방법.
  6. 제5 항에 있어서,
    상기 제2 모서리는 상기 엘디디(LDD)의 표면에 형성된 반도체 소자의 형성 방법.
  7. 제6 항에 있어서,
    상기 제1 모서리는 상기 게이트 전극의 외측에 정렬되고,
    상기 제2 모서리는 상기 게이트 전극의 하부에 중첩된 반도체 소자의 형성 방법.
  8. 제1 항에 있어서,
    상기 고속 식각 영역은 상기 엘디디(LDD) 보다 좁은 폭을 갖는 반도체 소자의 형성 방법.
  9. 제8 항에 있어서,
    상기 고속 식각 영역은 상기 엘디디(LDD)의 바닥보다 높은 레벨에 형성된 반도체 소자의 형성 방법.
  10. 제9 항에 있어서,
    상기 엘디디(LDD)는 상기 활성 영역 및 상기 고속 식각 영역 사이에 보존된 반도체 소자의 형성 방법.
  11. 제8 항에 있어서,
    상기 고속 식각 영역은 상기 엘디디(LDD) 및 상기 내장 스트레서 사이에 보존된 반도체 소자의 형성 방법.
  12. 제1 항에 있어서,
    상기 엘디디(LDD)를 형성하기 전에, 상기 활성영역 상에 가설 게이트 전극을 형성하고, 상기 가설 게이트 전극의 측벽 상에 제1 스페이서를 형성하고,
    상기 내장 스트레서를 형성한 후, 상기 가설 게이트 전극을 제거하는 것을 더 포함하는 반도체 소자의 형성 방법.
  13. 제1 항에 있어서,
    상기 고속 식각 영역을 형성하기 전에, 상기 활성영역 상에 가설 게이트 전극을 형성하고, 상기 가설 게이트 전극의 측벽 상에 제2 스페이서를 형성하고,
    상기 내장 스트레서를 형성한 후, 상기 가설 게이트 전극을 제거하는 것을 더 포함하는 반도체 소자의 형성 방법.
  14. 제1 항에 있어서,
    상기 제1 트렌치를 형성하기 전에, 상기 활성영역 상에 가설 게이트 전극을 형성하고, 상기 가설 게이트 전극의 측벽 상에 제3 스페이서를 형성하고,
    상기 내장 스트레서를 형성한 후, 상기 가설 게이트 전극을 제거하는 것을 더 포함하는 반도체 소자의 형성 방법.
  15. 제1 항에 있어서,
    상기 내장 스트레서(embedded stressor)를 형성하는 것은
    상기 제2 트렌치 내에 제1 반도체 막을 형성하고,
    상기 제1 반도체 막 상에 제2 반도체 막을 형성하고,
    상기 제2 반도체 막 상에 제3 반도체 막을 형성하는 것을 포함하되, 상기 제1 반도체 막 및 상기 제2 반도체 막은 상기 활성 영역과 다른 물질을 포함하는 반도체 소자의 형성 방법.
  16. 제15 항에 있어서,
    상기 제1 반도체 막, 상기 제2 반도체 막 및 상기 제3 반도체 막은 선택적 에피택시얼 성장(selective epitaxial growth; SEG) 기술을 이용하여 형성하는 것을 포함하는 반도체 소자의 형성 방법.
  17. 제16 항에 있어서,
    상기 제1 반도체 막 및 상기 제2 반도체 막은 SiGe막을 포함하되, 상기 제2 반도체 막 내에서 Ge 함유비율은 상기 제1 반도체 막보다 높은 반도체 소자의 형성 방법.
  18. 제1 영역에 한정된 제1 활성 영역 및 상기 제1 영역과 패턴 밀도가 다른 제2 영역에 한정된 제2 활성 영역을 갖는 기판을 제공하고,
    상기 제1 활성 영역에 제1 엘디디(LDD) 및 상기 제2 활성 영역에 제2 엘디디(LDD)를 형성하고,
    상기 제1 활성 영역에 제1 고속 식각 영역 및 상기 제2 활성 영역에 제2 고속 식각 영역을 형성하되, 상기 제1 고속 식각 영역 및 상기 제2 고속 식각 영역은 인(P)을 함유하고,
    상기 제1 고속 식각 영역을 제거하여 제1 예비 트렌치 및 상기 제2 고속 식각 영역을 제거하여 제2 예비 트렌치를 형성하고,
    방향성 식각 공정을 이용하여, 상기 제1 예비 트렌치를 확장하여 제1 트렌치 및 상기 제2 예비 트렌치를 확장하여 제2 트렌치를 형성하되, 상기 제1 활성 영역은 상기 제1 트렌치에 의하여 형성된 제1 시그마-모양(∑-shape)을 가지며, 상기 제2 활성 영역은 상기 제2 트렌치에 의하여 형성된 제2 시그마-모양(∑-shape)을 가지고,
    상기 제1 트렌치 내에 제1 내장 스트레서 및 상기 제2 트렌치 내에 제2 내장 스트레서를 형성하고,
    상기 제1 활성영역 상에 제1 게이트 전극 및 상기 제2 활성영역 상에 제2 게이트 전극을 형성하는 것을 포함하는 반도체 소자의 형성 방법.
  19. 제1 영역 및 상기 제1 영역과 패턴 밀도가 다른 제2 영역을 갖는 기판;
    상기 제1 영역에 한정된 제1 활성 영역;
    상기 제1 활성 영역 상의 제1 게이트 전극;
    상기 제1 활성 영역 내에 형성되고 상기 제1 게이트 전극에 오프셋 정렬된 제1 트렌치;
    상기 제1 트렌치 내에 형성된 제1 내장 스트레서(embedded stressor);
    상기 제2 영역에 한정된 제2 활성 영역;
    상기 제2 활성 영역 상의 제2 게이트 전극;
    상기 제2 활성 영역 내에 형성되고 상기 제2 게이트 전극에 오프셋 정렬된 제2 트렌치; 및
    상기 제2 트렌치 내에 형성된 제2 내장 스트레서를 포함하되,
    상기 제1 활성 영역은 상기 제1 트렌치에 의하여 형성된 제1 시그마-모양(∑-shape)을 갖고, 상기 제2 활성 영역은 상기 제2 트렌치에 의하여 형성된 제2 시그마-모양(∑-shape)을 가지며,
    상기 제1 활성 영역은 제1 상부 표면, 상기 제1 상부 표면 아래에 상기 제1 내장 스트레서와 마주보는 제1 측면, 상기 제1 측면 아래에 상기 제1 내장 스트레서와 마주보는 제2 측면, 상기 상부 표면 및 상기 제1 측면 사이의 제1 모서리, 및 상기 제1 측면과 상기 제2 측면 사이의 제2 모서리를 포함하고,
    상기 제2 활성 영역은 제2 상부 표면, 상기 제2 상부 표면 아래에 상기 제2 내장 스트레서와 마주보는 제3 측면, 상기 제3 측면 아래에 상기 제2 내장 스트레서와 마주보는 제4 측면, 상기 상부 표면 및 상기 제3 측면 사이의 제3 모서리, 및 상기 제3 측면과 상기 제4 측면 사이의 제4 모서리를 포함하고,
    상기 제2 모서리는 상기 제1 게이트 전극의 하부에 중첩되며 상기 제4 모서리보다 높은 레벨에 형성되고,
    상기 제4 모서리는 상기 제2 게이트 전극의 외측에 정렬된 반도체 소자.
  20. 활성 영역을 갖는 기판;
    상기 활성 영역 상의 게이트 전극;
    상기 활성 영역 내에 형성된 엘디디(lightly doped drain; LDD); 및
    상기 활성 영역 내에 형성된 트렌치를 채우는 내장 스트레서(embedded stressor)를 포함하되,
    상기 활성 영역은 상기 트렌치에 의하여 형성된 시그마-모양(∑-shape)을 가지며,
    상기 엘디디(LDD)는 보론(B) 및 인(P)을 포함하고, 상기 엘디디(LDD)는 5E18 - 1E19 atom/㎤ 의 인(P)을 함유하는 반도체 소자.
KR1020120133248A 2012-11-22 2012-11-22 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자 KR102059526B1 (ko)

Priority Applications (21)

Application Number Priority Date Filing Date Title
KR1020120133248A KR102059526B1 (ko) 2012-11-22 2012-11-22 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
TW106132301A TWI643346B (zh) 2012-11-22 2013-09-13 在凹處包括一應力件的半導體裝置及其形成方法(三)
TW102133207A TWI605592B (zh) 2012-11-22 2013-09-13 在凹處包括一應力件的半導體裝置及其形成方法(二)
TW102133206A TWI608615B (zh) 2012-11-22 2013-09-13 在凹處包括一應力件的半導體裝置及其形成方法(一)
US14/033,639 US9214530B2 (en) 2012-11-22 2013-09-23 Methods of forming semiconductor devices including a stressor in a recess
US14/033,718 US9129952B2 (en) 2012-11-22 2013-09-23 Semiconductor devices including a stressor in a recess and methods of forming the same
JP2013219213A JP6310224B2 (ja) 2012-11-22 2013-10-22 リセス内のストレッサを有する半導体素子の形成方法
DE102013112701.0A DE102013112701B4 (de) 2012-11-22 2013-11-18 Halbleitervorrichtungen
DE202013012863.1U DE202013012863U1 (de) 2012-11-22 2013-11-18 Halbleitervorrichtungen, umfassend einen Stressor in einer Aussparung
DE202013012865.8U DE202013012865U1 (de) 2012-11-22 2013-11-18 Halbleitervorrichtungen, umfassend einen Stressor in einer Aussparung
DE102013022620.1A DE102013022620B3 (de) 2012-11-22 2013-11-18 Halbleitervorrichtungen
CN201310585778.9A CN103839890B (zh) 2012-11-22 2013-11-19 包括凹槽中的应力源的半导体器件及其形成方法
CN201910156871.5A CN110060999B (zh) 2012-11-22 2013-11-19 包括凹槽中的应力源的半导体器件及其形成方法
CN201310585436.7A CN103839944B (zh) 2012-11-22 2013-11-19 包括凹槽中的应力源的半导体器件及其形成方法
US14/680,349 US9257520B2 (en) 2012-11-22 2015-04-07 Semiconductor devices including a stressor in a recess and methods of forming the same
US14/938,172 US9397216B2 (en) 2012-11-22 2015-11-11 Semiconductor devices including a stressor in a recess and methods of forming the same
US14/951,932 US9520497B2 (en) 2012-11-22 2015-11-25 Semiconductor devices including a stressor in a recess and methods of forming the same
US14/955,289 US9537009B2 (en) 2012-11-22 2015-12-01 Semiconductor devices including a stressor in a recess and methods of forming the same
US15/009,276 US9548301B2 (en) 2012-11-22 2016-01-28 Semiconductor devices including a stressor in a recess and methods of forming the same
US15/393,852 US9741855B2 (en) 2012-11-22 2016-12-29 Semiconductor devices including a stressor in a recess and methods of forming the same
US15/406,018 US9768300B2 (en) 2012-11-22 2017-01-13 Semiconductor devices including a stressor in a recess and methods of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120133248A KR102059526B1 (ko) 2012-11-22 2012-11-22 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자

Publications (2)

Publication Number Publication Date
KR20140066042A true KR20140066042A (ko) 2014-05-30
KR102059526B1 KR102059526B1 (ko) 2019-12-26

Family

ID=50625735

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120133248A KR102059526B1 (ko) 2012-11-22 2012-11-22 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자

Country Status (6)

Country Link
US (5) US9214530B2 (ko)
JP (1) JP6310224B2 (ko)
KR (1) KR102059526B1 (ko)
CN (1) CN103839890B (ko)
DE (4) DE202013012865U1 (ko)
TW (1) TWI608615B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160051151A (ko) * 2014-10-31 2016-05-11 삼성전자주식회사 자기 저항 소자를 포함하는 반도체 소자

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
TWI605592B (zh) 2012-11-22 2017-11-11 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(二)
US9401365B2 (en) * 2013-12-19 2016-07-26 Texas Instruments Incorporated Epitaxial source/drain differential spacers
KR102193493B1 (ko) * 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
FR3018139B1 (fr) * 2014-02-28 2018-04-27 Stmicroelectronics (Rousset) Sas Circuit integre a composants, par exemple transistors nmos, a regions actives a contraintes en compression relachees
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
KR102265718B1 (ko) * 2014-08-29 2021-06-16 인텔 코포레이션 고 종횡비의 좁은 구조체들을 다수의 금속 층들로 채우기 위한 기술 및 관련 구성들
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
CN106206304B (zh) * 2015-05-05 2019-04-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US9911849B2 (en) * 2015-12-03 2018-03-06 International Business Machines Corporation Transistor and method of forming same
US10276715B2 (en) * 2016-02-25 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10141443B2 (en) * 2016-03-24 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices FinFET devices with optimized strained-sourece-drain recess profiles and methods of forming the same
KR102486477B1 (ko) * 2016-05-31 2023-01-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102568562B1 (ko) * 2017-01-24 2023-08-18 삼성전자주식회사 반도체 장치
US20190088766A1 (en) * 2017-09-21 2019-03-21 Globalfoundries Inc. Methods of forming epi semiconductor material in source/drain regions of a transistor device formed on an soi substrate
CN109671674A (zh) * 2017-10-13 2019-04-23 联华电子股份有限公司 半导体装置的制作方法
US10249510B1 (en) * 2018-02-28 2019-04-02 United Microelectronics Corp. Etching method
CN116153863A (zh) 2018-03-08 2023-05-23 蓝枪半导体有限责任公司 半导体元件及其制作方法
JP7034834B2 (ja) 2018-05-30 2022-03-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR102516879B1 (ko) * 2018-08-17 2023-03-31 삼성전자주식회사 다양한 선폭을 가지는 반도체 소자 및 이의 제조 방법
US11205597B2 (en) * 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102019111297B4 (de) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11111598B2 (en) * 2019-06-28 2021-09-07 Kabushiki Kaisha Toshiba Crystal growth method in a semiconductor device
KR20210017167A (ko) * 2019-08-07 2021-02-17 삼성전자주식회사 반도체 소자
US11063006B1 (en) * 2020-02-21 2021-07-13 Nanya Technology Corporation Semiconductor device structure with fine patterns forming varied height spacer and method for forming the same
KR20210130899A (ko) 2020-04-22 2021-11-02 삼성디스플레이 주식회사 디스플레이 장치
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
US11393915B2 (en) 2020-12-09 2022-07-19 Globalfoundries U.S. Inc. Epi semiconductor structures with increased epi volume in source/drain regions of a transistor device formed on an SOI substrate
TWI833182B (zh) * 2021-12-27 2024-02-21 南亞科技股份有限公司 半導體元件結構
US11646353B1 (en) * 2021-12-27 2023-05-09 Nanya Technology Corporation Semiconductor device structure

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03276730A (ja) * 1990-03-27 1991-12-06 Matsushita Electron Corp Mosトランジスタおよびその製造方法
JP2673384B2 (ja) * 1990-06-25 1997-11-05 三菱電機株式会社 半導体装置およびその製造方法
JP2006135340A (ja) * 1994-09-13 2006-05-25 Toshiba Corp 半導体装置
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
KR100487564B1 (ko) 2003-07-07 2005-05-03 삼성전자주식회사 높여진 소오스/드레인 영역을 갖는 반도체 소자 및 그제조방법
US7045407B2 (en) * 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
KR100642747B1 (ko) 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
JP4867176B2 (ja) 2005-02-25 2012-02-01 ソニー株式会社 半導体装置の製造方法
US20060234455A1 (en) 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US7358551B2 (en) 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
JP2007220808A (ja) 2006-02-15 2007-08-30 Toshiba Corp 半導体装置及びその製造方法
DE102006015077B4 (de) * 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US20080217686A1 (en) 2007-03-09 2008-09-11 International Business Machines Corporation Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US7732285B2 (en) 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
JP4896789B2 (ja) * 2007-03-29 2012-03-14 株式会社東芝 半導体装置の製造方法
JP5168274B2 (ja) 2007-05-14 2013-03-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5380794B2 (ja) 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
US7833852B2 (en) 2007-07-23 2010-11-16 Freescale Semiconductor, Inc. Source/drain stressors formed using in-situ epitaxial growth
US7652332B2 (en) 2007-08-10 2010-01-26 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
US7781799B2 (en) 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
JP5211647B2 (ja) 2007-11-01 2013-06-12 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP2009123961A (ja) 2007-11-15 2009-06-04 Toshiba Corp 半導体装置及びその製造方法
JP5168287B2 (ja) * 2008-01-25 2013-03-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7955909B2 (en) 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
KR101107204B1 (ko) 2008-12-29 2012-01-25 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
DE102009015715B4 (de) 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8558289B2 (en) * 2009-07-30 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors having a composite strain structure, integrated circuits, and fabrication methods thereof
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US8035141B2 (en) 2009-10-28 2011-10-11 International Business Machines Corporation Bi-layer nFET embedded stressor element and integration to enhance drive current
DE102009047308B4 (de) 2009-11-30 2012-09-20 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Verringern des Reihenwiderstands in komplexen Transistoren durch zuverlässiges Einbetten von Metallsilizidkontakten in hochdotiertes Halbleitermaterial bei der Herstellung
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
JP2011146465A (ja) 2010-01-13 2011-07-28 Fujitsu Semiconductor Ltd 半導体装置およびその製造方法
JP2011151318A (ja) 2010-01-25 2011-08-04 Renesas Electronics Corp 半導体装置およびその製造方法
US8198194B2 (en) 2010-03-23 2012-06-12 Samsung Electronics Co., Ltd. Methods of forming p-channel field effect transistors having SiGe source/drain regions
US8299535B2 (en) 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
US8278166B2 (en) 2010-07-16 2012-10-02 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor device
JP5614184B2 (ja) * 2010-09-06 2014-10-29 富士通セミコンダクター株式会社 半導体装置の製造方法
US8357574B2 (en) 2010-10-14 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating epitaxial structures
US8501570B2 (en) * 2010-10-20 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing source/drain structures
JP2012089784A (ja) 2010-10-22 2012-05-10 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8435848B2 (en) 2010-10-28 2013-05-07 Texas Instruments Incorporated PMOS SiGe-last integration process
US20120146142A1 (en) 2010-12-14 2012-06-14 Institute of Microelectronics, Chinese Acaademy of Sciences Mos transistor and method for manufacturing the same
KR20120073727A (ko) 2010-12-27 2012-07-05 삼성전자주식회사 스트레인드 반도체 영역을 포함하는 반도체 소자와 그 제조방법, 및 그것을 포함하는 전자 시스템
US8796788B2 (en) * 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
US8835982B2 (en) 2011-02-14 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
KR20140039544A (ko) * 2012-09-24 2014-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9029912B2 (en) 2013-01-11 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor substructure having elevated strain material-sidewall interface and method of making the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160051151A (ko) * 2014-10-31 2016-05-11 삼성전자주식회사 자기 저항 소자를 포함하는 반도체 소자

Also Published As

Publication number Publication date
US20160079424A1 (en) 2016-03-17
DE102013112701B4 (de) 2022-04-21
CN103839890A (zh) 2014-06-04
JP6310224B2 (ja) 2018-04-11
US9741855B2 (en) 2017-08-22
US20140141589A1 (en) 2014-05-22
CN103839890B (zh) 2018-10-26
US9520497B2 (en) 2016-12-13
US9397216B2 (en) 2016-07-19
US20160064565A1 (en) 2016-03-03
DE202013012863U1 (de) 2020-11-03
DE202013012865U1 (de) 2020-11-03
DE102013112701A1 (de) 2014-05-22
TW201421687A (zh) 2014-06-01
US20170110581A1 (en) 2017-04-20
JP2014107546A (ja) 2014-06-09
US20160087101A1 (en) 2016-03-24
DE102013022620B3 (de) 2022-05-25
US9537009B2 (en) 2017-01-03
US9214530B2 (en) 2015-12-15
KR102059526B1 (ko) 2019-12-26
TWI608615B (zh) 2017-12-11

Similar Documents

Publication Publication Date Title
KR102059526B1 (ko) 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9768300B2 (en) Semiconductor devices including a stressor in a recess and methods of forming the same
KR101909204B1 (ko) 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
CN107644807B (zh) 半导体装置和电子装置
CN105448911B (zh) 具有接触插塞的半导体器件
US20150263138A1 (en) Method of forming semiconductor device having stressor
KR20150105866A (ko) 스트레서를 갖는 반도체 소자 및 그 형성 방법
KR102037864B1 (ko) 내장 스트레서를 갖는 반도체 소자 및 그 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant