JP6310224B2 - リセス内のストレッサを有する半導体素子の形成方法 - Google Patents

リセス内のストレッサを有する半導体素子の形成方法 Download PDF

Info

Publication number
JP6310224B2
JP6310224B2 JP2013219213A JP2013219213A JP6310224B2 JP 6310224 B2 JP6310224 B2 JP 6310224B2 JP 2013219213 A JP2013219213 A JP 2013219213A JP 2013219213 A JP2013219213 A JP 2013219213A JP 6310224 B2 JP6310224 B2 JP 6310224B2
Authority
JP
Japan
Prior art keywords
forming
trench
active region
ldd
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013219213A
Other languages
English (en)
Other versions
JP2014107546A (ja
Inventor
東 石 申
東 石 申
哲 雄 李
哲 雄 李
會 晟 鄭
會 晟 鄭
永 倬 金
永 倬 金
來 寅 李
來 寅 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2014107546A publication Critical patent/JP2014107546A/ja
Application granted granted Critical
Publication of JP6310224B2 publication Critical patent/JP6310224B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は、基板に内蔵されたストレッサを有する半導体素子の形成方法及びその素子に関する。
半導体素子の電気的な特性改善のために、ストレイン技術(strain technology)が多様に研究されている。
米国特許出願公開第2008/0242037号明細書 米国特許出願公開第2011/0237039号明細書
本発明が解決しようとする課題は、ストレッサ(stressor)の位置と形状とを一定に制御することができる半導体素子の形成方法及びその素子を提供することにある。
本発明が解決しようとする他の課題は、ストレッサを有する半導体素子を用いた電子装置を提供することにある。
本発明が解決しようとする課題は、上記課題に制限されず、ここで言及しない更なる他の課題も下記の記載によって当業者に明確に理解されるであろう。
前記課題を達成するための本発明の技術的思想の実施形態は、半導体素子の形成方法を提供する。この方法は、活性領域を有する基板を提供し、前記活性領域にLDD(lightly doped drain)を形成することを含む。前記LDD内にリン(P)を含む高速エッチング領域を形成する。前記高速エッチング領域の少なくとも一部を除去して前記活性領域内に第1トレンチを形成する。方向性エッチング工程を用いて前記第1トレンチを拡張して前記活性領域内に第2トレンチを形成する。前記第2トレンチは前記活性領域のノッチ部分(notched portion)を有する。前記第2トレンチ内にストレッサ(stressor)を形成する。前記活性領域上にゲート電極を形成する。
前記LDDは、ボロン(B)を含むことができる。
前記第1トレンチの形成には等方性エッチング工程を含むことができる。前記第1トレンチは、前記高速エッチング領域に整列された上部トレンチ、及び前記LDDを貫通して前記上部トレンチの底に連通され、前記上部トレンチよりも狭い幅を有する下部トレンチを含むことができる。前記第1トレンチの側壁は段差(step)を有することができる。
前記第1トレンチの形成には異方性エッチング工程を含むことができる。前記異方性エッチング工程は前記等方性エッチング工程よりも先に実行することができる。
前記活性領域の前記ノッチ部分は上部側壁及び該上部側壁と接触された下部側壁を含むことができる。前記活性領域の上部表面(uppermost surface)及び前記上部側壁との間の角度は鋭角とすることができる。前記上部側壁は前記下部側壁と異なる傾斜を示すことができる。
前記上部側壁は前記LDD表面の融合インターフェース(convergence interface)で前記下部側壁と接触することができる。
前記ゲート電極は、前記上部側壁及び前記下部側壁の前記融合インターフェースと重畳することができる。前記上部側壁の端(edge portion)は前記ゲート電極の隣接した側壁の下に突出することができる。
前記高速エッチング領域は前記LDDよりも狭い幅を示すことができる。
前記高速エッチング領域は前記LDDの底よりも高いレベルに形成することができる。
前記LDDは前記活性領域と前記高速エッチング領域との間に保存することができる。
前記高速エッチング領域は前記LDDと前記ストレッサとの間に保存することができる。
前記LDDを形成する前に、前記活性領域上に仮設ゲート電極を形成することができる。前記仮設ゲート電極の側壁上に第1スペーサを形成することができる。前記ストレッサを形成した後、前記仮設ゲート電極を除去することができる。
前記高速エッチング領域を形成する前に、前記活性領域上に仮設ゲート電極を形成することができる。前記仮設ゲート電極の側壁上に第2スペーサを形成することができる。前記ストレッサを形成した後、前記仮設ゲート電極を除去することができる。
前記第1トレンチを形成する前に、前記活性領域上に仮設ゲート電極を形成することができる。前記仮設ゲート電極の側壁上に第3スペーサを形成することができる。前記ストレッサを形成した後、前記仮設ゲート電極を除去することができる。
前記ストレッサの形成は前記第2トレンチ内に第1半導体膜を形成することを含むことができる。前記第1半導体膜上に第2半導体膜を形成することができる。前記第2半導体膜上に第3半導体膜を形成することができる。前記第1半導体膜及び前記第2半導体膜は前記活性領域と異なる物質を含むことができる。
前記第1半導体膜、前記第2半導体膜、及び前記第3半導体膜は選択的エピタキシャル成長(selective epitaxial growth;SEG)技術を用いて形成することができる。
前記第1半導体膜及び前記第2半導体膜はSiGe膜を含むことができる。前記第2半導体膜内でGeの含有割合は前記第1半導体膜内よりも高いものとすることができる。
前記第3半導体膜はSi膜を含むことができる。また、本発明の技術的思想の実施形態は、他の半導体素子の形成方法を提供する。この方法は、第1領域に限定された第1活性領域及び前記第1領域とパターン密度が異なる第2領域に限定された第2活性領域を有する基板を提供し、前記第1活性領域に第1LDD及び前記第2活性領域に第2LDDを形成することを含む。前記第1活性領域に第1高速エッチング領域及び前記第2活性領域に第2高速エッチング領域を形成する。前記第1高速エッチング領域及び前記第2高速エッチング領域はリン(P)を含む。前記第1高速エッチング領域を除去して第1予備トレンチ及び前記第2高速エッチング領域を除去して第2予備トレンチを形成する。方向性エッチング工程を用い、前記第1予備トレンチを拡張して第1トレンチ及び前記第2予備トレンチを拡張して第2トレンチを形成する。前記第1トレンチは前記第1活性領域の第1ノッチ部分(notched portion)を有し、前記第2トレンチは前記第2活性領域の第2ノッチ部分(notched portion)を有する。前記第1トレンチ内に第1ストレッサ及び前記第2トレンチ内に第2ストレッサを形成する。前記第1活性領域上に第1ゲート電極及び前記第2活性領域上に第2ゲート電極を形成する。
前記第1活性領域の前記第1ノッチ部分(notched portion)は第1融合インターフェース(convergence interface)で第1下部側壁と接触された第1上部側壁を含むことができる。前記第2活性領域の前記第2ノッチ部分(notched portion)は第2融合インターフェース(convergence interface)で第2下部側壁と接触された第2上部側壁を含むことができる。前記第1ゲート電極は前記第1融合インターフェースに重畳することができる。前記第2ゲート電極は前記第2融合インターフェースに重畳させないことができる。前記第1融合インターフェースは前記第2融合インターフェースよりも高いレベルに形成することができる。前記第1融合インターフェースと前記第1ゲート電極の側面との間の水平距離は0ないし−5nmとすることができる。前記第2融合インターフェースと前記第2ゲート電極の側面との間の水平距離は+1nmないし+3nmとすることができる。
前記第1融合インターフェースと前記第1活性領域の上部表面との間の垂直高さは3nmないし7nmとすることができる。前記第2融合インターフェースと前記第2活性領域の上部表面との間の垂直高さは8nmないし12nmとすることができる。
前記第1融合インターフェース(convergence interface)は前記第1LDDの表面に形成することができる。前記第2融合インターフェースは前記第2LDDの表面に形成することができる。前記第2LDDは前記第1LDDよりも高い濃度のボロン(B)を含むことができる。
前記第2高速エッチング領域は前記第1高速エッチング領域よりも低い濃度のリン(P)を含むことができる。
さらに、本発明の技術的思想の実施形態は、半導体素子を提供する。前記半導体素子は、第1領域及び前記第1領域とパターン密度が異なる第2領域を有する基板を含む。前記第1領域に限定された第1活性領域が提供される。前記第1活性領域上に第1ゲート電極が形成される。前記第1活性領域内に前記第1ゲート電極の側壁に隣接した第1トレンチが形成される。前記第1トレンチ内に第1内蔵ストレッサ(embedded stressor)が形成される。前記第2領域に限定された第2活性領域が提供される。前記第2活性領域上に第2ゲート電極が形成される。前記第2活性領域内に前記第2ゲート電極の側壁に隣接した第2トレンチが形成される。前記第2トレンチ内に第2内蔵ストレッサが形成される。前記第1トレンチは前記第1活性領域の第1ノッチ部分(notched portion)を有し、前記第2トレンチは前記第2活性領域の第2ノッチ部分(notched portion)を有する。前記第1ノッチ部分は第1上部側壁及び前記第1上部側壁と接触された第1下部側壁を含む。前記第1上部側壁及び前記第1下部側壁は第1融合インターフェース(convergence interface)に集まる。前記第2ノッチ部分は第2上部側壁及び前記第2上部側壁と接触された第2下部側壁を含む。前記第2上部側壁及び前記第2下部側壁は第2融合インターフェース(convergence interface)に集まる。前記第1ゲート電極は前記第1融合インターフェースに重畳され、前記第2ゲート電極は前記第2融合インターフェースに重畳されない。前記第1融合インターフェースは前記第2融合インターフェースよりも高いレベルに形成される。
前記第1融合インターフェースと前記第1ゲート電極の側壁との間の水平距離は0nmないし−5nmとすることができる。前記第2融合インターフェースと前記第2ゲート電極の側壁との間の水平距離は1nmないし3nmとすることができる。
前記第1融合インターフェースと前記第1活性領域の上部表面との間の垂直高さは3nmないし7nmとすることができる。前記第2融合インターフェースと前記第2活性領域の上部表面との間の垂直高さは8nmないし12nmとすることができる。
前記第1活性領域内に第1LDD及び前記第2活性領域内に第2LDDを形成することができる。前記第1融合インターフェースは前記第1LDDの表面に形成することができる。前記第2融合インターフェースは前記第2LDDの表面に形成することができる。前記第2LDDは前記第1LDDよりも高い濃度のボロン(B)を含むことができる。
さらに、本発明の技術的思想の実施形態は、他の半導体素子を提供する。前記半導体素子は活性領域を有する基板を含む。前記活性領域上にゲート電極が配置される。前記ゲート電極の側壁に隣接した前記活性領域内にLDD(lightly doped drain)が形成される。前記活性領域内に形成されたトレンチを埋め込む内蔵ストレッサ(embedded stressor)が配置される。前記トレンチは前記活性領域のノッチ部分(notched portion)を有する。前記LDDはボロン(B)及びリン(P)を含む。前記LDDは、5E18−1E19atom/cmのリン(P)を含む。
前記活性領域の前記ノッチ部分は上部側壁及び前記上部側壁と接触された下部側壁を含むことができる。前記活性領域の上部表面(uppermost surface)と前記上部側壁との間の角度は鋭角とすることができる。前記上部側壁は前記下部側壁と異なる傾斜を示すことができる。
前記上部側壁は前記LDD表面の融合インターフェース(convergence interface)で前記下部側壁と接触させることができる。
前記ゲート電極は前記上部側壁及び前記下部側壁の前記融合インターフェースに重畳させることができる。前記上部側壁の端(edge portion)は前記ゲート電極の隣接した側壁の下に突出させることができる。
前記融合インターフェースと前記ゲート電極の隣接した側面との間の水平距離は0ないし−5nmとすることができる。前記融合インターフェースと前記活性領域の上部表面との間の垂直高さは3nmないし7nmとすることができる。
前記LDDと前記内蔵ストレッサとの間に高速エッチング領域を形成することができる。前記高速エッチング領域はリン(P)を含むことができる。
さらに、本発明の技術的思想の実施形態は、電子装置を提供する。前記電子装置はマザーボード上に搭載され、活性領域を有する半導体基板を含む。前記活性領域上にゲート電極が配置される。前記活性領域内にLDD(lightly doped drain)が形成される。前記活性領域内に形成されたトレンチを埋め込む内蔵ストレッサ(embedded stressor)が配置される。前記活性領域は前記トレンチによって形成されたシグマ形状(Σ-shape)を有する。前記LDDはボロン(B)及びリン(P)を含む。前記LDDは5E18−1E19atom/cmのリン(P)を含む。
また、本発明の技術的思想の実施形態は、他の半導体素子の形成方法を提供する。この方法は基板上にゲート構造を形成することを含む。前記基板内に前記ゲート構造の側壁に隣接したドーピングパターン(doped pattern)を形成する。前記ゲート構造をエッチングマスクとして用いて前記ドーピングパターンの一部分をエッチングして第1予備キャビティを形成する。前記第1予備キャビティの側壁に前記ドーピングパターンが露出される。前記ドーピングパターンを選択的にエッチングして第2予備キャビティを形成する。方向性エッチング工程を用いて、前記第2予備キャビティの露出された表面をエッチングしてキャビティを形成する。前記キャビティは前記ゲート構造の下のリセス(recess)を含む。前記リセスは2つの収斂傾斜部(two converging sloped portions)を含む。前記キャビティ内にストレッサを形成する。
前記ドーピングパターンの形成は前記ゲート構造をイオン注入マスクとして用いて前記基板内にイオン注入することを含むことができる。
前記イオン注入は前記基板内にリン(P)を注入することを含むことができる。
前記ドーピングパターンを形成する前に、前記ゲート構造の前記側壁に隣接した前記基板内にLDDを形成することができる。前記ドーピングパターンの少なくとも一部分は前記LDD内に形成することができる。
前記LDDを形成した後、前記ゲート構造の側壁上にスペーサパターンを形成することができる。前記イオン注入は、前記スペーサパターン及び前記ゲート構造をイオン注入マスクとして用いて実行することができる。
前記方向性エッチング工程は前記基板の結晶方向に依存して多数の異なったエッチレート(etch rates)で前記基板をエッチングするエッチング工程を含むことができる。
その他の実施形態の具体的な事項は、詳細な説明及び図面に含まれている。
本発明の技術的思想の実施形態によれば、活性領域に形成されたトレンチを埋め込む内蔵ストレッサ(embedded stressor)を提供することができる。前記トレンチを形成することは、LDD内にリン(P)を注入して高速エッチング領域を形成し、前記高速エッチング領域を等方性エッチングして第1トレンチを形成し、方向性エッチング工程を用いて第2トレンチを形成することを含む。前記内蔵ストレッサは前記トレンチを埋め込むことができる。前記内蔵ストレッサの大きさ、形態、及び位置制御に有利であって、パターンローディングエフェクト(pattern loading effect)を最小化することができ、前記活性領域の中心部分と端部分において位置による偏差を改善することができるという点で従来よりも優れた効果を有している。また、従来よりも優れた電気的特性を有する半導体素子を実現することができる。
本発明の技術的思想の実施形態による半導体素子の形成方法を説明するためのフローチャート(flow chart)。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するためのレイアウト。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための図16の切断線I−I’及びII−II’による断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するためのレイアウト。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 図35の一部分を詳しく示す拡大断面図。 本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図。 図37の一部分を詳しく示す拡大断面図。 本発明の技術的思想の実施形態による電子装置の斜視図及びシステムブロック図。 本発明の技術的思想の実施形態による電子装置の斜視図及びシステムブロック図。 本発明の技術的思想の実施形態による電子装置を説明するためのシステムブロック図。
添付図面を参照しながら本発明の技術的思想の実施形態を詳細に説明する。しかし、本発明はここで説明される実施形態に限らず、他の形態に具体化されることもできる。むしろ、ここで紹介される実施形態は内容の開示を徹底的かつ完全なものとし、そして当業者に本発明の思想を十分に伝達するために提供されるものである。図面において、層及び領域の厚さは明確性を期するために誇張されたものである。また、層が、他の層または基板「上」にあるとした場合に、それは他の層または基板上に直接形成されるか、またはそれらの間に第3の層が介在される。明細書全体において同一参照番号に表示された部分は同一構成要素を意味する。
第1、第2などの用語は、多様な構成要素を説明するために用いることができるが、前記構成要素は前記用語によって限定されるものではない。前記用語は1つの構成要素を他の構成要素から区別する目的としてのみ用いられる。例えば、本発明の権利範囲を離脱せず、第1構成要素は第2構成要素と命名することができ、同様に、第2構成要素は第1構成要素と命名することができる。
上端、下端、上面、下面、または上部、下部などの用語は、構成要素において相対的な位置であることを区別するために用いられる。例えば、便宜上、図面上の上側を上部、図面上の下側を下部とした場合、実際においては本発明の権利範囲を離脱せず、上部は下部と命名することができ、下部は上部と命名することができる。
本出願に用いる用語は、ただ特定の実施形態を説明するために用いるものであって、本発明を限定する意図はない。単数表現は、文脈上、明白な違いがない限り、複数表現を含む。本出願において、「含む」または「有する」などの用語は、明細書上に記載した特徴、数字、段階、動作、構成要素、部分品またはこれらを組み合わせたものが存在するということを指定するものであって、1つまたはそれ以上の他の特徴や数字、段階、動作、構成要素、部分品またはこれらを組み合わせたものなどの存在または付加可能性をあらかじめ排除しないものとして理解すべきである。
他に定義がない限り、技術的また科学的な用語を含み、ここで用いるすべての用語は本発明が属する技術分野において通常の知識を有する者によって一般的に理解されるものと同一の意味がある。一般的に使用される、すでに定義されているものと同様な用語は関連技術の文脈上に有する意味と一致するものと解釈すべきであり、本出願に明白な定義がない限り、理想的や過度に形式的な意味に解釈されない。
図1は本発明の技術的思想の実施形態による半導体素子の形成方法を説明するためのフローチャート(flow chart)であり、図2ないし図14Gは本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図である。
図1を参照すると、本発明の技術的思想の実施形態による半導体素子の形成方法は、LDD(lightly doped drain)を形成し(S500)、高速エッチング領域(faster etch rate part)を形成し(S510)、第1トレンチを形成し(S520)、第2トレンチを形成し(S530)、第1半導体膜を形成し(S540)、第2半導体膜を形成し(S550)、第3半導体膜を形成し(S560)、層間絶縁膜を形成すること(S570)を含むことができる。以下、工程別に図面を参照しながら詳しく説明する。
図1及び図2を参照すると、基板21上にウェル(well)22、活性領域23、素子分離膜29、バッファ膜31、仮設ゲート電極33、第1マスクパターン35、及び第2マスクパターン37を形成することができる。基板21は、シリコンウエハまたはSOI(silicon on insulator)ウエハのような単結晶半導体基板とすることができる。基板21は第1導電型不純物を含むことができる。ウェル(well)22は第1導電型と他の第2導電型不純物を含むことができる。
以下では、第1導電型がp型であって、第2導電型はn型の場合を想定して説明することとする。他の実施形態において、第1導電型はn型であって、第2導電型はp型とすることができる。例えば、基板21はp型不純物を含む単結晶シリコンとすることができ、ウェル22はn型不純物を含む単結晶シリコンとすることができる。基板21はボロン(B)を含むことができ、ウェル22は、砒素(As)、リン(P)、またはこれらの組み合わせを含むことができる。
素子分離膜29によりウェル22内に活性領域23を規定することができる。活性領域23はn型不純物を含む単結晶シリコンを含むことができる。素子分離膜29はSTI(shallow trench isolation)技術を用いて形成することができる。素子分離膜29は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。バッファ膜31を活性領域23と仮設ゲート電極33との間に介在させることができる。バッファ膜31は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。例えば、バッファ膜31はシリコン酸化物とすることができる。
仮設ゲート電極33は活性領域23を横切るように形成することができる。仮設ゲート電極33は活性領域23及び素子分離膜29を横切ることができる。仮設ゲート電極33はポリシリコンを含むことができる。他の実施形態において、仮設ゲート電極33は絶縁膜とすることができる。第1マスクパターン35は仮設ゲート電極33上に形成することができる。第1マスクパターン35は仮設ゲート電極33に対してエッチング選択比を有する物質を含むことができる。第2マスクパターン37は第1マスクパターン35上に形成することができる。第2マスクパターン37は第1マスクパターン35に対してエッチング選択比を有する物質を含むことができる。例えば、第1マスクパターン35はシリコン酸化物を含むことができ、第2マスクパターン37はシリコン窒化物またはポリシリコンを含むことができる。第1マスクパターン35または第2マスクパターン37のうち1つは省略することができる。
第2マスクパターン37、第1マスクパターン35、仮設ゲート電極33、及びバッファ膜31の側面は垂直に整列することができる。第2マスクパターン37、第1マスクパターン35、仮設ゲート電極33、及びバッファ膜31は、仮設ゲートパターン31、33、35、37と指称することができる。仮設ゲートパターン31、33、35、37は活性領域23を横切ることができる。仮設ゲートパターン31、33、35、37は活性領域23上に互いに平行に複数形成することができる。
図1及び図3を参照すると、仮設ゲート電極33の側壁上に第1スペーサ42を形成することができる。第1スペーサ42、第2マスクパターン37、第1マスクパターン35、及び仮設ゲート電極33をイオン注入マスクとして用いて活性領域23に第1導電型不純物を注入してLDD(lightly doped drain)43を形成することができる(S500)。例えば、LDD43を形成する際には、1E13−5E14atom/cmのドーズ(dose)、2−5Kevイオン注入エネルギ、及びBF2が適用される。LDD43はボロン(B)を含むことができる。活性領域23に第2導電型不純物を注入してハロー(halo)45を形成することができる。ハロー(halo)45はLDD43の側面及び底を覆うことができる。LDD43及びハロー(halo)45の形成にはイオン注入工程及び熱処理工程を含むことができる。
第1スペーサ42は基板21上をコンフォーマルに覆うことができる。例えば、第1スペーサ42は仮設ゲートパターン31、33、35、37の上部表面及び側面を覆い、活性領域23及び素子分離膜29を所定厚さに覆うことができる。第1スペーサ42は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。第1スペーサ42は仮設ゲート電極33に対してエッチング選択比を有する物質を含むことができる。例えば、第1スペーサ42はシリコン窒化物を含むことができる。LDD43は活性領域23の上部表面で所定深さになるように形成することができる。LDD43は仮設ゲートパターン31、33、35、37の下部に部分的に重畳されることができる。第1スペーサ42の厚さを調節してLDD43を所望する位置に形成することができる。
図1及び図4Aを参照すると、第1スペーサ42上に第2スペーサ47を形成することができる。第2スペーサ47をイオン注入マスクとして用いて活性領域23内に高速エッチング領域(faster etch rate part)49を形成することができる(S510)。例えば、高速エッチング領域49を形成する際には、5E14−3E15atom/cmのドーズ(dose)、2−5Kevイオン注入エネルギ、及びPH3が適用される。PH3の代りにPH2を使用することもできる。高速エッチング領域49はリン(P)を含むことができる。
第2スペーサ47は基板21上をコンフォーマルに覆うことができる。例えば、第2スペーサ47は仮設ゲートパターン31、33、35、37の上部表面及び側面を覆い、LDD43及び素子分離膜29を覆うことができる。第2スペーサ47は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。第2スペーサ47は仮設ゲート電極33に対してエッチング選択比を有する物質を含むことができる。例えば、第2スペーサ47はシリコン窒化物を含むことができる。第1スペーサ42及び第2スペーサ47は仮設ゲートパターン31、33、35、37の側面を順に覆うことができる。
第2スペーサ47の厚さを調節して高速エッチング領域49を所望する位置に形成することができる。高速エッチング領域49はLDD43内に形成することができる。高速エッチング領域49の底はLDD43の底よりも高いレベルに形成することができる。高速エッチング領域49は仮設ゲート電極33の外側に整列されるように形成することができる。活性領域23は仮設ゲート電極33の下部に保存することができる。LDD43は仮設ゲート電極33の下部に保存することができる。高速エッチング領域49と活性領域23との間にLDD43を保存することができる。
図1及び図4Bを参照すると、第2スペーサ47Aは多様な厚さを有するように形成することができる。例えば、第2スペーサ47Aは13nmの厚さを有するように形成することができる。第2スペーサ47Aは高速エッチング領域49の位置を制御する役割ができる。例えば、第2スペーサ47Aの厚さを調節して高速エッチング領域49の側面がLDD43内に位置するように制御することができる。第2スペーサ47Aの厚さを調節して高速エッチング領域49が仮設ゲート電極33から離隔するように制御することができる。LDD43は高速エッチング領域49と活性領域23との間に保存することができる。
他の実施形態において、高速エッチング領域49はLDD43を貫通することができる。例えば、高速エッチング領域49の底はハロー(halo)45内に形成されることができる。さらに、高速エッチング領域49はLDD43及びハロー45を両方とも貫通することができる。
図1及び図5Aを参照すると、第2スペーサ47上に第3スペーサ51を形成することができる。第3スペーサ51を形成するには、薄膜形成工程及び異方性エッチング工程を含むことができる。第3スペーサ51を形成するには第2スペーサ47及び第1スペーサ42を部分的に除去して高速エッチング領域49の上部表面を露出することができる。第2スペーサ47及び第1スペーサ42は仮設ゲートパターン31、33、35、37と第3スペーサ51との間に保存することができる。
第3スペーサ51は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。第3スペーサ51は仮設ゲート電極33に対してエッチング選択比を有する物質を含むことができる。例えば、第3スペーサ51はシリコン窒化物を含むことができる。
図1及び図5Bを参照すると、第3スペーサ51を形成する間に高速エッチング領域49が部分的に除去されてリセス領域51Tを形成することができる。例えば、リセス領域51Tは1nm〜10nmの深さとすることができる。
図1及び図5Cを参照すると、第3スペーサ51を形成した後に、追加的な異方性エッチング工程を用いてリセス領域51Tを形成することができる。リセス領域51Tは高速エッチング領域49及びLDD43を貫通することができる。例えば、リセス領域51Tは7nm〜10nmの深さとすることができる。リセス領域51Tの底にハロー45が露出させることができる。リセス領域51Tの側壁と第3スペーサ51の側面とは垂直に整列することができる。
図1、図6A及び図6Bを参照すると、高速エッチング領域49、LDD43、及びハロー(halo)45をエッチングして第1トレンチ53を形成することができる(S520)。第1トレンチ53は高速エッチング領域49に整列された上部トレンチ53A及び上部トレンチ53Aの底に連通された下部トレンチ53Bを含むことができる。上部トレンチ53AはLDD43内に形成することができる。上部トレンチ53Aにより第1スペーサ42、第2スペーサ47、及び第3スペーサ51の下部にアンダ−カット(under-cut)を形成することができる。下部トレンチ53BはLDD43を貫通してハロー(halo)45内に形成することができる。下部トレンチ53Bは上部トレンチ53Aよりも狭い幅を有することができる。第1トレンチ53の側壁は段差(step)を有することができる。例えば、上部トレンチ53A及び下部トレンチ53BによりLDD43の露出された側面は段差(step)を有することができる。
第1トレンチ53を形成する際には、乾式エッチング工程、湿式エッチング工程、またはこれらの組み合わせが適用される。第1トレンチ53を形成する際には等方性エッチング工程、異方性エッチング工程、またはこれらの組み合わせが適用される。例えば、第1トレンチ53を形成する際には、HBr、CF、O、Cl、NF、またはこれらの組み合わせを使用する等方性乾式エッチング工程を含むことができる。本発明者の確認では、リン(P)を含む単結晶シリコンはボロン(B)を含む単結晶シリコンに比べて早いエッチング速度を有することができる。高速エッチング領域49はLDD43に比べて相対的に早いエッチング速度を示すことができる。高速エッチング領域49及びLDD43の構成に応じて上部トレンチ53A及び下部トレンチ53Bの大きさと形状とを決定することができる。高速エッチング領域49及びLDD43の構成を用いて第1トレンチ53の大きさ、形状、位置を所望通りに調節することができる。第1トレンチ53は基板21の全面にかけて極めて均一に形成することができる。
図6Cを参照すると、いくつかの実施形態において高速エッチング領域49は上部トレンチ53AとLDD43との間に保存されることができる。
図1及び図7Aを参照すると、方向性エッチング(directional etch)工程を用いて第2トレンチ55を形成することができる(S530)。例えば、第2トレンチ55の形成には、NHOH、NHOH、TMAH(Tetra Methyl Ammonium Hydroxide)、KOH、NaOH、BTMH(benzyl trimethyl ammonium hydroxide)、またはこれらの組み合わせを使用する湿式エッチング工程が適用される。第2トレンチ55により活性領域23はシグマ形状(Σ-shape)を有することができる。LDD43は仮設ゲートパターン31、33、35、37の下部に保存することができる。活性領域23は、第1表面23SU、第1側面23S1、第2側面23S2、及び第2表面23S3を含むことができる。第1側面23S1と第1表面23SUとの間に第1端E1を定義することができる。第1側面23S1と第2側面23S2との間に第2端E2を定義することができる。第1側面23S1及び第2側面23S2は{111}面({111}surface)とすることができる。第2トレンチ55は第1トレンチ53の拡張として解釈することができる。
図7Bを参照すると、第2トレンチ55はLDD43及びハロー(halo)45を貫通することができる。第1表面23SUは活性領域23の上端に定義することができる。例えば、第1表面23SUはバッファ膜31に接触し、第1スペーサ42の下部に延長することができる。第1側面23S1、第2側面23S2、及び第2表面23S3は第2トレンチ55に露出させることができる。第1側面23S1は第1表面23SUと接続させることができる。第1側面23S1は第1表面23SUに対して鋭角となすことができる。第2側面23S2は第1側面23S1の下に形成させることができる。第2側面23S2は第1側面23S1と異なる傾斜を有することができる。第2側面23S2は、基板21に平行で、第2表面23S3を通る水平延長線に対して鋭角となすことができる。第2表面23S3は第2トレンチ55の底に露出させることができる。第2表面23S3は第2側面23S2と接続させることができる。第1表面23SUは活性領域23の上部表面として解釈することができる。
高速エッチング領域49の位置を制御して第1端E1及び第2端E2の位置を所望する位置に形成することができる。例えば、第1端E1は第1スペーサ42の下部に形成することができ、第2端E2は仮設ゲート電極33の下部に重畳されるように形成することができる。第1端E1及び第2端E2はLDD43の表面に位置することができる。第1側面23S1にLDD43を露出させることができる。第2側面23S2にLDD43、ハロー(halo)45、及び活性領域23を露出させることができる。
仮設ゲート電極33の側面を通り、基板21に垂直な直線と第2端E2との間の水平距離をXと定義することができる。第1表面23SUを通り、基板21に平行な直線と第2端E2との間の垂直高さをYと定義することができる。例えば、水平距離Xは0ないし±5nmとすることができ、垂直高さYは3nmないし7nmとすることができる。水平距離Xが0の場合は、第2端E2が仮設ゲート電極33の側面に垂直に重畳されたものとして解釈することができ、水平距離Xが負(-)の数値を示す場合は、第2端E2が仮設ゲート電極33の下部に垂直に重畳されたものとして解釈することができ、水平距離Xが正(+)の数値を示す場合は、第2端E2が仮設ゲート電極33の外側に整列されたものとして解釈することができる。
高速エッチング領域49の位置を制御して水平距離X及び垂直高さYの位置を基板21の全面にかけて従来と比べて一定に制御することができる。いくつかの実施形態において、水平距離Xの絶対値を大きくしながら垂直高さYを従来と比べて相対的に減少させることができる。本発明の実施形態による半導体素子は水平距離X及び垂直高さYの位置に応じて従来と比べて極めて優れた電気的特性を有することができる。本発明者の確認では、第2端E2がLDD43の表面に位置する半導体素子は相対的に優れた電気的特性を示した。
図7Cを参照すると、いくつかの実施形態において、第2トレンチ55とLDD43との間に高速エッチング領域49を保存することができる。
図1及び図8Aを参照すると、第2トレンチ55内に第1半導体膜61を形成することができる(S540)。第1半導体膜61は選択的エピタキシャル成長(selective epitaxial growth;SEG)方法によるアンドープド(undoped)単結晶SiGeを含むことができる。第1半導体膜61内でGeの含量は10〜25%とすることができる。第1半導体膜61は第2トレンチ55の内壁をコンフォーマルに覆うことができる。第1半導体膜61は第1側面23S1及び第2側面23S2を所定厚さに覆うことができる。
図8Bを参照すると、第1半導体膜61Aは第2側面23S2を所定厚さに覆い、第1側面23S1を部分的に露出するように形成することができる。
図8Cを参照すると、第1半導体膜61Bは第1側面23S1及び第2側面23S2を覆うように形成することができる。
図1及び図9を参照すると、第2トレンチ55内に第2半導体膜62を形成することができる(S550)。第2半導体膜62は選択的エピタキシャル成長(selective epitaxial growth;SEG)方法によるボロン(B)ドープド(doped)単結晶SiGeを含むことができる。第2半導体膜62内においてGeの含量は25〜50%とすることができる。第2半導体膜62は1E20−3E20atom/cmのボロン(B)を含むことができる。第2半導体膜62は第2トレンチ55を完全に埋めることができる。第2半導体膜62の上端は活性領域23よりも高いレベルに突出されることができる。
図1及び図10を参照すると、第2半導体膜62上に第3半導体膜63を形成することができる(S560)。第3半導体膜63は選択的エピタキシャル成長(selective epitaxial growth;SEG)方法によるボロン(B)ドープド(doped)単結晶Siを含むことができる。第3半導体膜63は1E20−3E20atom/cmのボロン(B)を含むことができる。第1半導体膜61、第2半導体膜62、及び第3半導体膜63は内蔵ストレッサ(embedded stressor)65を構成することができる。内蔵ストレッサ65はストレイン−誘導パターン(strain-inducing pattern)と指称することができる。
他の実施形態において、第1半導体膜61または第3半導体膜63は省略することができる。
図1及び図11を参照すると、基板21上に層間絶縁膜71を形成することができる(S570)。層間絶縁膜71は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。
他の実施形態において、層間絶縁膜71を形成する前に、第3半導体膜63上に金属シリサイド形成工程、熱処理工程、などのようないくつかの工程を追加的に実行することができるが、簡略な説明のために省略するものとする。
図1及び図12を参照すると、層間絶縁膜71を部分的に除去し、第2マスクパターン37及び第1マスクパターン35を除去して仮設ゲート電極33を露出することができる。層間絶縁膜71、第2マスクパターン37、及び第1マスクパターン35の除去には、化学機械的研磨(chemical mechanical polishing;CMP)工程、エッチバック(etch-back)工程、またはこれらの組み合わせが適用される。
図1及び図13を参照すると、仮設ゲート電極33及びバッファ膜31を除去して活性領域23を露出するゲートトレンチ33Tを形成することができる。
図1及び図14Aを参照すると、ゲートトレンチ33T内に、第1ゲート誘電膜73、第2ゲート誘電膜75、第1ゲート電極77、及び第2ゲート電極79を形成することができる。
第1ゲート誘電膜73は活性領域23上に形成することができる。第1ゲート誘電膜73は界面酸化膜(interfacial oxide layer)と指称することができる。第1ゲート誘電膜73は洗浄工程を用いて形成することができる。第1ゲート誘電膜73はシリコン酸化物を含むことができる。第2ゲート誘電膜75は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、High−K誘電膜、またはこれらの組み合わせを含むことができる。例えば、第2ゲート誘電膜75はHfOまたはHfSiOを含むことができる。第2ゲート誘電膜75は第1ゲート電極77の側面及び底面を覆うことができる。第1ゲート誘電膜73は活性領域23と第2ゲート誘電膜75との間に介在させることができる。
第1ゲート電極77は第2ゲート電極79の側面及び底面を覆うことができる。第1ゲート電極77は仕事関数(work-function)を考慮した導電膜を含むことができる。例えば、第1ゲート電極77はTiNまたはTaNを含むことができる。第2ゲート電極79は金属膜を含むことができる。
他の実施形態において、第1ゲート電極77はTiAlまたはTiAlCを含むことができる。
図14Bを参照すると、内蔵ストレッサ65はLDD43及びハロー(halo)45を貫通して活性領域23に接触させることができる。内蔵ストレッサ65の底面はハロー(halo)45よりも低いレベルに形成させることができる。内蔵ストレッサ65は第1側面23S1及び第2側面23S2に接触させることができる。第1半導体膜61はLDD43と第2半導体膜62との間に介在させることができる。
第2半導体膜62内において第1導電型不純物の濃度はLDD43よりも高いものとすることができる。例えば、第2半導体膜62内においてボロン(B)の濃度はLDD43よりも高いものとすることができる。第2半導体膜62内の第1導電型不純物は第1半導体膜61内部で拡散させることができる。第1半導体膜61内においてボロン(B)の濃度は第2半導体膜62内よりも低いものとすることができる。
高速エッチング領域(図4Aの49)に注入されたリン(P)はLDD43内部に拡散することができる。LDD43はリン(P)及びボロン(B)を含むことができる。例えば、LDD43は5E18−1E19atom/cmのリン(P)を含むことができる。高速エッチング領域49にリン(P)を注入する間に、第2スペーサ47、第1スペーサ42、及びこれらの界面にリン(P)を残留させることができる。内蔵ストレッサ65と第3スペーサ51との間の第2スペーサ47、第1スペーサ42、またはこれらの界面にリン(P)を保存させることができる。LDD43と第3スペーサ51との間の第2スペーサ47、第1スペーサ42、またはこれらの界面にもリン(P)を保存させることができる。
図14Cを参照すると、第2スペーサ47Aの厚さを調節して内蔵ストレッサ65の水平幅を所望する大きさに形成することができる。例えば、内蔵ストレッサ65を第1ゲート電極77の外側に形成させることができる。
図14Dを参照すると、内蔵ストレッサ65の底面は、ハロー(halo)45内に位置させることができる。
図14Eを参照すると、内蔵ストレッサ65Aは、第1半導体膜61A、第2半導体膜62、及び第3半導体膜63を含むことができる。第1半導体膜61Aは第2側面23S2を所定厚さに覆い、第1側面23S1を部分的に露出するように形成することができる。第2半導体膜62は第1側面23S1に接触させることができる。
図14Fを参照すると、内蔵ストレッサ65Bは、第1半導体膜61B、第2半導体膜62、及び第3半導体膜63を含むことができる。第1半導体膜61Bは第1側面23S1及び第2側面23S2を覆うように形成することができる。第1半導体膜61BはLDD43と第2半導体膜62との間に形成することができる。
図14Gを参照すると、内蔵ストレッサ65とLDD43との間に高速エッチング領域49を部分的に保存することができる。
図15は本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図である。
図15を参照すると、基板21上に、ウェル(well)22、活性領域23、素子分離膜29、ゲート誘電膜131、第1ゲート電極133、第2ゲート電極181、内側スペーサ134、第1スペーサ142、LDD(lightly doped drain)43、ハロー(halo)45、第2スペーサ147、第3スペーサ151、内蔵ストレッサ65、エッチング停止膜183、及び層間絶縁膜185を形成することができる。ゲート誘電膜131及び第1ゲート電極133は内蔵ストレッサ65より先に形成することができる。
ゲート誘電膜131は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、High−K誘電膜、またはこれらの組み合わせを含むことができる。第1ゲート電極133は、ポリシリコン、金属シリサイド、金属、またはこれらを組み合わせた導電膜を含むことができる。第2ゲート電極181は、金属シリサイド、金属、またはこれらを組み合わせた導電膜を含むことができる。内側スペーサ134は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらの組み合わせを含むことができる。エッチング停止膜183は層間絶縁膜185に対してエッチング選択比を有する物質を含むことができる。例えば、層間絶縁膜185はシリコン酸化物を含むことができ、エッチング停止膜183はシリコン窒化物を含むことができる。
図16は本発明の技術的思想の実施形態による半導体素子の形成方法を説明するためのレイアウトであり、図17ないし図31Cは本発明の技術的思想の実施形態による半導体素子の形成方法を説明するために図16の切断線I−I’及びII−II’による断面図である。
図16及び図17を参照すると、基板221上に活性領域223を規定する素子分離膜229を形成することができる。活性領域223の上部表面とバッファ膜225で覆うことができる。活性領域223はフィン(fin)状またはワイヤ状のように多様な形態とすることができる。例えば、活性領域223は長軸の長さが相対的に長く形成されたフィン(fin)状の単結晶シリコンを含むことができる。
図16及び図18を参照すると、基板221の所定領域にウェル(well)222を形成することができる。活性領域223はウェル222上に限定することができる。活性領域223内にチャネルイオンを注入することができる。ウェル222は基板221と異なる導電型の不純物を注入して形成することができる。例えば、ウェル222は基板221の表面で所定深さにn型不純物を注入して形成することができる。
他の実施形態において、ウェル222は素子分離膜229の形成前に形成することもできる。ウェル222は省略することもできる。
図16及び図19を参照すると、素子分離膜229をリセスして活性領域223の側面を露出することができる。素子分離膜229は活性領域223の上端よりも低いレベルに保存させることができる。素子分離膜229をリセスする間に、バッファ膜225も除去することができる。活性領域223の上部表面を露出させることができる。素子分離膜229のリセスには、エッチバック(etch-back)工程が適用される。
図16及び図20を参照すると、活性領域223上に、仮設ゲート誘電膜231、仮設ゲート電極233、第1マスクパターン235、及び第2マスクパターン237を形成することができる。仮設ゲート電極233は、薄膜形成工程、化学機械的研磨(chemical mechanical polishing;CMP)工程、及びパターニング工程を用いて形成することができる。
仮設ゲート電極233は活性領域223を横切ることができる。仮設ゲート電極233は活性領域223の側面及び上部表面を覆うことができる。仮設ゲート誘電膜231は活性領域223と仮設ゲート電極233との間に形成することができる。仮設ゲート誘電膜231は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。仮設ゲート電極233はポリシリコンを含むことができる。第1マスクパターン235はシリコン酸化物を含むことができる。第2マスクパターン237はシリコン窒化物を含むことができる。
図16及び図21を参照すると、仮設ゲート電極233の側面上に第1スペーサ242を形成することができる。活性領域223内にLDD(lightly doped drain)243及びハロー(halo)245を形成することができる。
第1スペーサ242は、仮設ゲート電極233、第1マスクパターン235、及び第2マスクパターン237の側面を覆うことができる。第1スペーサ242は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはこれらを組み合わせた絶縁膜を含むことができる。例えば、第1スペーサ242はシリコン窒化物とすることができる。
第2マスクパターン237及び第1スペーサ242をイオン注入マスクとして用いてLDD(lightly doped drain)243及びハロー(halo)245を形成することができる。LDD243は仮設ゲート電極233の外側に隣接した活性領域223内に形成することができる。LDD243は第1スペーサ242の下部に拡散させることができる。LDD243はウェル(well)222と異なる導電型の不純物を含むことができる。例えば、LDD243はp型不純物を含むことができる。LDD243はボロン(B)を含むことができる。
ハロー(halo)245はLDD243の外側に形成することができる。ハロー(halo)245はLDD243の底面を覆い、LDD243の側面を覆うことができる。ハロー(halo)245はLDD243と異なる導電型の不純物を含むことができ、ハロー(halo)245はウェル(well)222と同一の導電型の不純物を含むことができる。例えば、ハロー(halo)245はn型不純物を含むことができる。ハロー(halo)245のn型不純物濃度はウェル(well)222よりも高いものとすることができる。
図16及び図22を参照すると、第1スペーサ242上に第2スペーサ247を形成することができる。第2スペーサ247をイオン注入マスクとして用いて活性領域223に高速エッチング領域(faster etch rate part)249を形成することができる。高速エッチング領域249はリン(P)を含むことができる。
第2スペーサ247は基板221上をコンフォーマルに覆うことができる。第2スペーサ247は仮設ゲート電極233に対してエッチング選択比を有する物質を含むことができる。例えば、第2スペーサ247はシリコン窒化物を含むことができる。
第2スペーサ247の厚さを調節して高速エッチング領域249を所望する位置に形成することができる。高速エッチング領域249はLDD243内に形成することができる。高速エッチング領域249の底面はLDD243の底面よりも高いレベルに形成することができる。高速エッチング領域249は仮設ゲート電極233の外側に整列されるように形成することができる。活性領域223は仮設ゲート電極233の下部に保存することができる。LDD243は仮設ゲート電極233の下部に保存することができる。高速エッチング領域249と活性領域223との間にLDD243を保存することができる。
図16及び図23を参照すると、第2スペーサ247上に第3スペーサ251を形成することができる。第3スペーサ251の形成には薄膜形成工程及び異方性エッチング工程を含むことができる。第3スペーサ251を形成する際に第2スペーサ247及び第1スペーサ242を部分的に除去して高速エッチング領域249の上部表面を露出させることができる。第2スペーサ247及び第1スペーサ242は仮設ゲート電極233と第3スペーサ251との間に保存させることができる。
図16及び図24を参照すると、高速エッチング領域249及びLDD243をエッチングして第1トレンチ253を形成することができる。第1トレンチ253は高速エッチング領域249に整列された上部トレンチ253A及び上部トレンチ253Aの底に連通された下部トレンチ253Bを含むことができる。上部トレンチ253AはLDD243内に形成することができる。上部トレンチ253Aにより第1スペーサ242、第2スペーサ247、及び第3スペーサ251の下部にアンダ−カット(under-cut)を形成することができる。第1トレンチ253の側壁は段差(step)を有することができる。例えば、上部トレンチ253A及び下部トレンチ253BによりLDD243の露出された側面は段差(step)を有することができる。
第1トレンチ253の形成には、乾式エッチング工程、湿式エッチング工程、またはこれらの組み合わせが適用される。第1トレンチ253の形成には、等方性エッチング工程、異方性エッチング工程、またはこれらの組み合わせが適用される。例えば、第1トレンチ253の形成には、HBr、CF、O、Cl、NF、またはこれらの組み合わせを用いる等方性乾式エッチング工程を含むことができる。本発明者が確認したことは、リン(P)を含む単結晶シリコンはボロン(B)を含む単結晶シリコンに比べて早いエッチング速度を有することである。高速エッチング領域249は、LDD243に比べて相対的に早いエッチング速度を有する。高速エッチング領域249及びLDD243の構成に基づいて上部トレンチ253A及び下部トレンチ253Bの大きさと形状とを決定することができる。
図16及び図25Aを参照すると、方向性エッチング(directional etch)工程を用いて第2トレンチ255を形成することができる。例えば、第2トレンチ255の形成には、NHOH、NHOH、TMAH(Tetra Methyl Ammonium Hydroxide)、KOH、NaOH、BTMH(benzyl trimethyl ammonium hydroxide)、またはこれらの組み合わせを使用する湿式エッチング工程が適用される。方向性エッチング(directional etch)工程は活性領域223の結晶方向に依存して互いに異なるエッチング速度を有する。第2トレンチ255はLDD243を貫通することができる。LDD243は第2トレンチ255と活性領域223との間に保存することができる。
活性領域223は、第1表面223SU、第1側面223S1、第2側面223S2、第3側面223S3、及び第2表面223S4を含むことができる。第1側面223S1、第2側面223S2、及び第3側面223S3は、{111}面({111}surface)とすることができる。第1表面223SUは活性領域223の上端に形成することができる。第1側面223S1は第1表面223SUと接続させることができる。第1側面223S1は第1表面223SUに対して鋭角とすることができる。第2側面223S2は第1側面223S1の下に形成させることができる。第2側面223S2は第1側面223S1と異なる傾斜とすることができる。第2側面223S2は基板221に対して垂直とすることができる。第3側面223S3は第2側面223S2の下に形成することができる。第3側面223S3は第2側面223S2と異なる傾斜とすることができる。第2表面223S4は第2トレンチ255の底面に露出させることができる。第2表面223S4は第3側面223S3と接続させることができる。
図25Bを参照すると、第2トレンチ255Aは活性領域223の結晶方向に依存して多様な形状に形成することができる。例えば、第2トレンチ255AはU形状(U-shape)とすることができる。第2トレンチ255A内に第1側面223S5及び第2表面223S4を露出させることができる。第1側面223S5は第1表面223SUと接続させることができる。第1側面223S5は第1表面223SUに対して垂直となることができる。第2表面223S4は第2トレンチ255の底面に露出させることができる。第2表面223S4は第1側面223S5と接続させることができる。
図25Cを参照すると、第2トレンチ255B内に、第1側面223S1、第2側面223S2、及び第2表面223S4を露出させることができる。第1側面223S1は第1表面223SUと接続させることができる。第1側面223S1は第1表面223SUに対して鋭角となすようにすることができる。第2側面223S2は第1側面223S1の下に形成させることができる。第2表面223S4は第2トレンチ255Bの底面に露出させることができる。第2表面223S4は第2側面223S2と接続させることができる。
図16及び図26を参照すると、第2トレンチ255内に第2半導体膜262を形成することができる。第2半導体膜262は選択的エピタキシャル成長(selective epitaxial growth;SEG)方法によるボロン(B)ドープド(doped)単結晶SiGeを含むことができる。第2半導体膜262内でのGeの含量は25〜50%とすることができる。第2半導体膜262は1E20−3E20atom/cmのボロン(B)を含むことができる。第2半導体膜262は第2トレンチ255を完全に埋めることができる。第2半導体膜262の上端は活性領域223よりも高いレベルに突出させることができる。
図16及び図27を参照すると、第2半導体膜262上に第3半導体膜263を形成することができる。第3半導体膜263は選択的エピタキシャル成長(selective epitaxial growth;SEG)方法によるボロン(B)ドープド(doped)単結晶Siを含むことができる。第3半導体膜263は1E20−3E20atom/cmのボロン(B)を含むことができる。第2半導体膜62及び第3半導体膜63は内蔵ストレッサ(embedded stressor)265を構成することができる。
他の実施形態において、第3半導体膜263は省略されることができる。
図16及び図28を参照すると、基板221上に層間絶縁膜271を形成することができる。
図16及び図29を参照すると、層間絶縁膜271を部分的に除去し、第2マスクパターン237及び第1マスクパターン235を除去して仮設ゲート電極233を露出させることができる。
図16及び図30を参照すると、仮設ゲート電極233及び仮設ゲート誘電膜231を除去して活性領域223を露出するゲートトレンチ233Tを形成することができる。
図16及び図31Aを参照すると、ゲートトレンチ233T内に、第1ゲート誘電膜273、第2ゲート誘電膜275、及びゲート電極279を形成することができる。内蔵ストレッサ265はウェッジ(wedge)形状を示すことができる。
第1ゲート誘電膜273は活性領域223上に形成することができる。第1ゲート誘電膜273は界面酸化膜(interfacial oxide layer)と指称することができる。第1ゲート誘電膜273は洗浄工程を用いて形成することができる。第1ゲート誘電膜273はシリコン酸化物を含むことができる。第2ゲート誘電膜275は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、High−K誘電膜、またはこれらの組み合わせを含むことができる。第2ゲート誘電膜275はゲート電極279の側面及び底面を覆うことができる。第1ゲート誘電膜273は活性領域223と第2ゲート誘電膜275との間に介在させることができる。
図31Bを参照すると、内蔵ストレッサ265は第2半導体膜262A及び第3半導体膜263を含むことができる。内蔵ストレッサ265はU形状(U-shape)とすることができる。
図31Cを参照すると、内蔵ストレッサ265は第2半導体膜262B及び第3半導体膜263を含むことができる。内蔵ストレッサ265はピラミッド形状、または鉛筆形状とすることができる。
図32は本発明の技術的思想の実施形態による半導体素子の形成方法を説明するためのレイアウトである。図33ないし図35、及び図37は本発明の技術的思想の実施形態による半導体素子の形成方法を説明するための断面図であり、図36は図35の一部分を詳しく示す拡大断面図であり、図38は図37の一部分を詳しく示す拡大断面図である。
図32を参照すると、半導体チップ100は第1領域102及び第2領域101Cを含むことができる。第1領域102はロジッグ(logic)領域と指称することができる。第2領域101Cはセルアレイ(cell array)領域と指称することができる。第1領域102及び第2領域101Cには多数のPMOSトランジスタを形成することができる。第2領域101Cは第1領域102よりもパターン密度が高いものとすることができる。
半導体チップ100はマイクロプロセッサ(microprocessor)とすることができる。半導体チップ100はメモリ領域101を含むことができる。メモリ領域101は第2領域101C及びSRAM−peri.;101Pを含むことができる。第2領域101CはSRAMのようなメモリセルを含むことができる。第1領域102はメモリ領域101に隣接するように形成することができる。
図32及び図33を参照すると、基板21上の第1領域102に、第1ウェル(well)22、第1活性領域23、第1素子分離膜29、第1バッファ膜31、第1仮設ゲート電極33、第1下部マスクパターン35、及び第1上部マスクパターン37を形成することができる。基板21は第1導電型不純物を含むことができる。第1ウェル(well)22は第1導電型と異なる第2導電型不純物を含むことができる。以下では、第1導電型がp型であり、第2導電型はn型の場合を想定して説明するものとする。
第1素子分離膜29により第1ウェル(well)22内に第1活性領域23を規定することができる。第1仮設ゲート電極33は第1活性領域23を横切るように形成することができる。第1上部マスクパターン37、第1下部マスクパターン35、第1仮設ゲート電極33、及び第1バッファ膜31は、第1仮設ゲートパターン31、33、35、37と指称することができる。第1仮設ゲートパターン31、33、35、37は第1活性領域23を横切ることができる。第1仮設ゲートパターン31、33、35、37は第1活性領域23上に互いに平行にいくつか形成することができる。
第1仮設ゲート電極33の側壁上に第1内側スペーサ42を形成することができる。第1内側スペーサ42、第1上部マスクパターン37、第1下部マスクパターン35、及び第1仮設ゲート電極33をイオン注入マスクとして用いて第1活性領域23に第1導電型不純物を注入して第1LDD(lightly doped drain)43を形成することができる。第1活性領域23に第2導電型不純物を注入して第1ハロー(halo)45を形成することができる。第1ハロー(halo)45は、第1LDD43の側面及び底面を覆うことができる。第1LDD43及び第1ハロー(halo)45の形成には、イオン注入工程及び熱処理工程を含むことができる。
第1内側スペーサ42上に第1中間スペーサ47を形成することができる。第1中間スペーサ47をイオン注入マスクとして用いて第1活性領域23内に第1高速エッチング領域(faster etch rate part)49を形成することができる。第1中間スペーサ47上に第1外側スペーサ51を形成することができる。第1外側スペーサ51の形成には薄膜形成工程及び異方性エッチング工程を含むことができる。第1高速エッチング領域49の上部表面を露出することができる。
基板21上の第2領域101Cに、第2ウェル(well)322、第2活性領域323、第2素子分離膜329、第2バッファ膜331、第2仮設ゲート電極333、第2下部マスクパターン335、及び第2上部マスクパターン337を形成することができる。第2ウェル(well)322は第2導電型不純物を含むことができる。
第2素子分離膜329により第2ウェル(well)322内に第2活性領域323を規定することができる。第2仮設ゲート電極333は第2活性領域323を横切るように形成することができる。第2上部マスクパターン337、第2下部マスクパターン335、第2仮設ゲート電極333、及び第2バッファ膜331は、第2仮設ゲートパターン331、333、335、337と指称することができる。第2仮設ゲートパターン331、333、335、337は第2活性領域323を横切ることができる。第2仮設ゲートパターン331、333、335、337は第2活性領域323上に互いに平行にいくつか形成することができる。
第2仮設ゲート電極333の側壁上に第2内側スペーサ342を形成することができる。第2内側スペーサ342、第2上部マスクパターン337、第2下部マスクパターン335、及び第2仮設ゲート電極333をイオン注入マスクとして用いて第2活性領域323に第1導電型不純物を注入して第2LDD(lightly doped drain)343を形成することができる。第2活性領域323に第2導電型不純物を注入して第2ハロー(halo)345を形成することができる。第2ハロー(halo)345は第2LDD343の側面及び底面を覆うことができる。第2LDD343及び第2ハロー(halo)345を形成する際にはイオン注入工程及び熱処理工程を含むことができる。
第2内側スペーサ342上に第2中間スペーサ347を形成することができる。第2中間スペーサ347をイオン注入マスクとして用いて第2活性領域323内に第2高速エッチング領域(faster etch rate part)349を形成することができる。第2中間スペーサ347上に第2外側スペーサ351を形成することができる。第2外側スペーサ351の形成には薄膜形成工程及び異方性エッチング工程を含むことができる。第2高速エッチング領域349の上部表面を露出することができる。
第1LDD43及び第2LDD343はボロン(B)を含むことができる。第2LDD343は第1LDD43よりも高い濃度のボロン(B)を含むことができる。第1高速エッチング領域49及び第2高速エッチング領域349はリン(P)を含むことができる。第2高速エッチング領域349は第1高速エッチング領域49よりも低い濃度のリン(P)を含むことができる。
いくつかの実施形態において、第2LDD343は第1LDD43よりも高い濃度のボロン(B)を含み、第2高速エッチング領域349は第1高速エッチング領域49よりも低い濃度のリン(P)を含むことができる。
他の実施形態において、第2高速エッチング領域349を省略することができる。
図32及び図34を参照すると、第1高速エッチング領域49、第1LDD43、及び第1ハロー(halo)45をエッチングして第1予備トレンチ53を形成することができる。第1予備トレンチ53は第1高速エッチング領域49に整列された第1上部トレンチ53A及び第1上部トレンチ53Aの底面に連通された第1下部トレンチ53Bを含むことができる。第1上部トレンチ53Aは第1LDD43内に形成することができる。第1下部トレンチ53Bは第1LDD43を貫通して第1ハロー(halo)45内に形成することができる。第1下部トレンチ53Bは第1上部トレンチ53Aよりも狭い幅を有することができる。第1予備トレンチ53の側壁は段差(step)を有することができる。例えば、第1上部トレンチ53A及び第1下部トレンチ53Bにより第1LDD43の露出された側面は段差(step)を有することができる。
第2高速エッチング領域349、第2LDD343、及び第2ハロー(halo)345をエッチングして第2予備トレンチ353を形成することができる。第2予備トレンチ353は第2高速エッチング領域349に整列された第2上部トレンチ353A及び第2上部トレンチ353Aの底面に連通された第2下部トレンチ353Bを含むことができる。第2上部トレンチ353Aは第2LDD343内に形成することができる。第2下部トレンチ353Bは第2LDD343を貫通して第2ハロー(halo)345内に形成されることができる。第2下部トレンチ353Bは第2上部トレンチ353Aよりも狭い幅を有することができる。第2予備トレンチ353の側壁は段差(step)を有することができる。例えば、第2上部トレンチ353A及び第2下部トレンチ353Bにより第2LDD343の露出された側面は段差(step)を有することができる。
第1予備トレンチ53及び第2予備トレンチ353の形成には、乾式エッチング工程、湿式エッチング工程、またはこれらの組み合わせが適用される。第1予備トレンチ53及び第2予備トレンチ353の形成には、等方性エッチング工程、異方性エッチング工程、またはこれらの組み合わせが適用される。例えば、第1予備トレンチ53及び第2予備トレンチ353の形成には、HBr、CF、O、Cl、NF、またはこれらの組み合わせを用いる等方性乾式エッチング工程を含むことができる。本発明者が確認したのは、リン(P)を含む単結晶シリコンはボロン(B)を含む単結晶シリコンに比べて早いエッチング速度を有することである。第2LDD343は第1LDD43よりも高い濃度のボロン(B)を含む場合、第2LDD343は第1LDD43よりも遅いエッチング速度を有することができる。第2高速エッチング領域349は第1高速エッチング領域49よりも低い濃度のリン(P)を含む場合、第2高速エッチング領域349は第1高速エッチング領域49よりも遅いエッチング速度を有することができる。
第1高速エッチング領域49、第2高速エッチング領域349、第1LDD43、及び第2LDD343の構成に基づいて第1上部トレンチ53A、第1下部トレンチ53B、第2上部トレンチ353A、及び第2下部トレンチ353Bの大きさと形状を決定することができる。第1高速エッチング領域49、第2高速エッチング領域349、第1LDD43、及び第2LDD343の構成を用いて第1予備トレンチ53及び第2予備トレンチ353の大きさ、形状、位置を所望通りに調節することができる。
図32及び図35を参照すると、方向性エッチング(directional etch)工程を用いて第1活性領域23内に第1トレンチ55及び第2活性領域323内に第2トレンチ355を形成することができる。例えば、第1トレンチ55及び第2トレンチ355の形成には、NHOH、NHOH、TMAH(Tetra Methyl Ammonium Hydroxide)、KOH、NaOH、BTMH(benzyl trimethyl ammonium hydroxide)、またはこれらの組み合わせを使用する湿式エッチング工程が適用される。
第1トレンチ55により第1活性領域23は第1シグマ形状(Σ-shape)を有することができる。第1LDD43は、第1仮設ゲートパターン31、33、35、37の下部に保存させることができる。第1活性領域23は、第1表面23SU、第1側面23S1、第2側面23S2、及び第2表面23S3を含むことができる。第1側面23S1と第1表面23SUとの間に第1端E1を定義することができる。第1側面23S1と第2側面23S2との間に第2端E2を定義することができる。
第2トレンチ355により第2活性領域323は第2シグマ形状(Σ-shape)を有することができる。第2LDD343は、第2仮設ゲートパターン331、333、335、337の下部に保存させることができる。第2活性領域323は、第3表面323SU、第3側面323S1、第4側面323S2、及び第4表面323S3を含むことができる。第3側面323S1と第3表面323SUとの間に第3角E31を定義することができる。第3側面323S1と第4側面323S2との間に第4角E32を定義することができる。
第1トレンチ55は第1予備トレンチ53の拡張として解釈することができ、第2トレンチ355は第2予備トレンチ353の拡張として解釈することができる。
図32及び図36を参照すると、第1トレンチ55は第1LDD43及び第1ハロー(halo)45を貫通することができる。第1表面23SUは第1活性領域23の上端に定義することができる。例えば、第1表面23SUは第1バッファ膜31に接触させ、第1内側スペーサ42の下部に延長させることができる。第1側面23S1、第2側面23S2、及び第2表面23S3は第1トレンチ55に露出させることができる。第1側面23S1は第1表面23SUと接続させることができる。第1側面23S1は第1表面23SUに対して鋭角となすことができる。第2側面23S2は第1側面23S1の下に形成させることができる。第2側面23S2は第1側面23S1と異なる傾斜を有することができる。第2側面23S2は、基板21に平行で、第2表面23S3を通る水平延長線に対して鋭角となすことができる。第2表面23S3は第1トレンチ55の底面に露出させることができる。第2表面23S3は第2側面23S2と接続させることができる。第1表面23SUは第1活性領域23の上部表面として解釈することができる。
第2トレンチ355は第2LDD343及び第2ハロー(halo)345を貫通することができる。第3表面323SUは第2活性領域323の上端に定義することができる。例えば、第3表面323SUは第2バッファ膜331に接触し、第2内側スペーサ342の下部に延長することができる。第3側面323S1、第4側面323S2、及び第4表面323S3を第2トレンチ355に露出させることができる。第3側面323S1は第3表面323SUと接続させることができる。第3側面323S1は第3表面323SUに対して鋭角となすことができる。第4側面323S2は第3側面323S1の下に形成させることができる。第4側面323S2は第3側面323S1と異なる傾斜を示すことができる。第4側面323S2は、基板21に平行で、第4表面323S3を通る水平延長線に対して鋭角となすことができる。第4表面323S3は第2トレンチ355の底面に露出させることができる。第4表面323S3は第4側面323S2と接続させることができる。第3表面323SUは第2活性領域323の上部表面として解釈することができる。
第1LDD43及び第1高速エッチング領域49の構成を用いて第1端E1及び第2端E2の位置を所望する位置に形成することができる。例えば、第1端E1は第1内側スペーサ42の下部に形成することができ、第2端E2は第1仮設ゲート電極33の下部に重畳されるように形成することができる。第1端E1及び第2端E2を第1LDD43の表面に位置させることができる。第1側面23S1に第1LDD43を露出させることができる。第2側面23S2に第1LDD43、第1ハロー(halo)45、及び第1活性領域23を露出させることができる。
第2LDD343及び第2高速エッチング領域349の構成を用いて第3角E31及び第4角E32の位置を所望する位置に形成することができる。例えば、第3角E31を第2内側スペーサ342の下部に形成させることができ、第4角E32を第2仮設ゲート電極333の外側に整列させることができる。第3角E31及び第4角E32を第2LDD343の表面に位置させることができる。第3側面323S1に第2LDD343を露出させることができる。第4側面323S2に、第2LDD343、第2ハロー(halo)345、及び第2活性領域323を露出させることができる。
第1仮設ゲート電極33の側面を通り、基板21に垂直な直線と第2端E2との間の第1水平距離をX1と定義することができる。第1表面23SUを通り、基板21に平行な直線と第2端E2との間の第1垂直高さをY1と定義することができる。例えば、第1水平距離X1は0ないし±5nmとすることができ、第1垂直高さY1は3nmないし7nmとすることができる。第1水平距離X1が0の場合に第2端E2が第1仮設ゲート電極33の側面に垂直に重畳されたものとして解釈することができ、第1水平距離X1が負(-)の数値を示す場合に第2端E2が第1仮設ゲート電極33の下部に垂直に重畳されたものとして解釈することができる。
第2仮設ゲート電極333の側面を通り、基板21に垂直な直線と第4角E32との間の第2水平距離をX3と定義することができる。第3表面323SUを通り、基板21に平行な直線と第4角E32との間の第2垂直高さをY3と定義することができる。第4角E32は前記第2端E2よりも低いレベルに形成することができる。例えば、第2水平距離X3は+1nmないし+3nmとすることができ、第2垂直高さY3は8nmないし12nmとすることができる。第2水平距離X3が正(+)の数値を示す場合に第4角E32が第2仮設ゲート電極333の外側に整列されたものとして解釈することができる。第2仮設ゲート電極333は第4角E32と重畳されないようにすることができる。
図32及び図37を参照すると、第1トレンチ55内に第1半導体膜61を形成することができる。第1半導体膜61上に第2半導体膜62を形成することができる。第2半導体膜62上に第3半導体膜63を形成することができる。第1半導体膜61、第2半導体膜62、及び第3半導体膜63は第1内蔵ストレッサ(embedded stressor)65を構成することができる。
第2トレンチ355内に第4半導体膜361を形成することができる。第4半導体膜361上に第5半導体膜362を形成することができる。第5半導体膜362上に第6半導体膜363を形成することができる。第4半導体膜361、第5半導体膜362、及び第6半導体膜363は、第2内蔵ストレッサ(embedded stressor)365を構成することができる。第4半導体膜361は第1半導体膜61と同時に形成される同一の物質膜とすることができ、第5半導体膜362は第2半導体膜62と同時に形成される同一の物質膜とすることができ、第6半導体膜363は第3半導体膜63と同時に形成される同一の物質膜とすることができる。
基板21上に第1層間絶縁膜71及び第2層間絶縁膜371を形成することができる。第1仮設ゲートパターン31、33、35、37を除去して第1活性領域23を露出させることができ、第2仮設ゲートパターン331、333、335、337を除去して第2活性領域323を露出させることができる。
第1活性領域23上に、第1ゲート誘電膜73、第2ゲート誘電膜75、第1ゲート電極77、及び第2ゲート電極79を形成することができる。第2活性領域323上に、第3ゲート誘電膜373、第4ゲート誘電膜375、第3ゲート電極377、及び第4ゲート電極379を形成することができる。第3ゲート誘電膜373は第1ゲート誘電膜73と類似の構成を有することができ、第4ゲート誘電膜375は第2ゲート誘電膜75と類似の構成を有することができ、第3ゲート電極377は第1ゲート電極77と類似の構成を有することができ、第4ゲート電極379は第2ゲート電極79と類似の構成を有することができる。
図32及び図38を参照すると、第1内蔵ストレッサ(embedded stressor)65は第1LDD43及び第1ハロー(halo)45を貫通して第1活性領域23に接触することができる。第1内蔵ストレッサ65の底面は第1ハロー(halo)45よりも低いレベルに形成することができる。第1内蔵ストレッサ65は第1側面23S1及び第2側面23S2に接触することができる。第2内蔵ストレッサ(embedded stressor)365は第2LDD343及び第2ハロー(halo)345を貫通して第2活性領域323に接触させることができる。第2内蔵ストレッサ365の底面は第2ハロー(halo)345よりも低いレベルに形成することができる。第2内蔵ストレッサ365は第3側面323S1及び第4側面323S2に接触させることができる。
第2端E2は第1LDD43の表面に形成することができ、第4角E32は第2LDD343の表面に形成することができる。第2端E2は第4角E32よりも高いレベルに形成することができる。第2端E2は第1ゲート電極77の下部に重畳させることができ、第4角E32は第3ゲート電極377の外側に整列させることができる。
図39及び図40は本発明の技術的思想の実施形態による電子装置の斜視図及びシステムブロック図である。
図39を参照すると、図1ないし図38を参照して説明したものと類似の半導体素子はスマートフォン1900、ネットブック、ノート・パソコン、またはタブレットPCのような電子システムに有用に適用することができる。例えば、図1ないし図38を参照して説明したものと類似の半導体素子は、スマートフォン1900内のメインボードに搭載することができる。さらに、図1ないし図38を参照して説明したものと類似の半導体素子は、外装型メモリカードのような拡張装置として提供され、スマートフォン1900に結合されて用いられることができる。
図40を参照すると、図1ないし図38を参照して説明したものと類似の半導体素子は、電子システム2100に適用することができる。電子システム2100は、ボディ(Body)2110、マイクロプロセッサユニット(Micro Processor Unit)2120、パワーユニット(Power Unit)2130、機能ユニット(Function Unit)2140、及びディスプレイコントローラユニット(Display Controller Unit)2150を含むことができる。ボディ2110は印刷回路基板PCBに形成されたマザーボード(Mother Board)とすることができる。マイクロプロセッサユニット2120、パワーユニット2130、機能ユニット2140、及びディスプレイコントローラユニット2150はボディ2110に装着することができる。ボディ2110の内部あるいはボディ2110の外部にディスプレイユニット2160を配置することができる。例えば、ディスプレイユニット2160はボディ2110の表面に配置されてディスプレイコントローラユニット2150によりプロセスされたイメージを表示することができる。
パワーユニット2130は、外部バッテリなどから所定電圧を受けてこれを要求される電圧レベルに分岐してマイクロプロセッサユニット2120、機能ユニット2140、ディスプレイコントローラユニット2150などに供給する役割をすることができる。マイクロプロセッサユニット2120はパワーユニット2130から電圧を受けて機能ユニット2140とディスプレイユニット2160とを制御することができる。機能ユニット2140は多様な電子システム2100の機能を実行することができる。例えば、電子システム2100が携帯電話の場合に、機能ユニット2140はダイヤリング、または外部装置(External Apparatus)2170との交信によるディスプレイユニット2160への映像出力、スピーカへの音声出力などのような携帯電話機能を実行することができる多くの構成要素を含むことができ、カメラが一緒に装着された場合にはカメライメージプロセッサ(Camera Image Processor)の役割をすることができる。
応用実施形態において、電子システム2100が容量拡張のためにメモリカードなどと接続される場合、機能ユニット2140はメモリカードコントローラとすることができる。機能ユニット2140は有線あるいは無線の通信ユニット(Communication Unit)2180を介して外部装置2170と信号を交信することができる。さらに、電子システム2100が機能拡張のためにUSB(Universal Serial Bus)などを必要とする場合、機能ユニット2140はインターフェースコントローラ(Interface Controller)の役割をすることができる。さらに、機能ユニット2140は大容量保存装置を含むことができる。
図1ないし図38を参照して説明したものと類似の半導体素子は、機能ユニット2140またはマイクロプロセッサユニット2120に適用することができる。例えば、マイクロプロセッサユニット2120は内蔵ストレッサ(embedded stressor)65を含むことができる。マイクロプロセッサユニット2120は内蔵ストレッサ65の構成に起因して従来と比べて優れた電気的特性を示すことができる。
図41は本発明の技術的思想が適用された実施形態による半導体素子の中から少なくとも1つを含む他の電子システム2400を概略的に示すブロック図である。
図41を参照すると、電子システム2400は本発明の技術的思想の多様な実施形態による半導体素子の中から少なくとも1つを含むことができる。電子システム2400はモバイル機器またはコンピュータを製造する場合に用いることができる。例えば、電子システム2400は、メモリシステム2412、マイクロプロセッサ2414、ラム2416、バス2420、及びユーザインターフェース2418を含むことができる。マイクロプロセッサ2414、メモリシステム2412、及びユーザインターフェース2418は、バス2420を経由して相互接続することができる。ユーザインターフェース2418は、電子システム2400にデータを入力するか、または電子システム2400からデータを出力するのに用いることができる。マイクロプロセッサ2414は、電子システム2400をプログラム及びコントロールすることができる。ラム2416はマイクロプロセッサ2414の動作メモリとして用いることができる。マイクロプロセッサ2414、ラム2416、及び/または他の構成要素は、単一パッケージ内で組み立てることができる。メモリシステム2412は、マイクロプロセッサ2414の動作用コード、マイクロプロセッサ2414により処理されたデータ、または外部入力データを保存することができる。メモリシステム2412はコントローラ及びメモリを含むことができる。
図1ないし図38を参照して説明したものと類似の半導体素子は、マイクロプロセッサ2414、ラム2416、またはメモリシステム2412に適用することができる。例えば、マイクロプロセッサ2414は内蔵ストレッサ(embedded stressor)65を含むことができる。マイクロプロセッサ2414は内蔵ストレッサ65の構成に起因して従来に比べて優れた電気的特性を示すことができる。
以上、添付図面を参照しながら本発明の好適な実施形態について詳細に説明したが、本発明はかかる例に限定されない。本発明の属する技術の分野における通常の知識を有する者であれば、特許請求の範囲に記載された技術的思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、これらについても、当然に本発明の技術的範囲に属するものと了解される。
21 基板
22 ウェル
23 活性領域
23SU 第1表面
23S1 第1側面
23S2 第2側面
23S3 第2表面
E1 第1端
E2 第2端
29 素子分離膜
31 バッファ膜
33 仮設ゲート電極
35、37 マスクパターン
42 第1スペーサ
43 LDD(lightly doped drain)
45 ハロー(halo)
47、47A 第2スペーサ
49 高速エッチング領域(faster etch rate part)
51 第3スペーサ
51T リセス領域
53、55 トレンチ
61、61A、61B 第1半導体膜
62 第2半導体膜
63 第3半導体膜
65、65A、65B 内蔵ストレッサ(embedded stressor)
71 層間絶縁膜
73 第1ゲート誘電膜
75 第2ゲート誘電膜
77 第1ゲート電極
79 第2ゲート電極
100 半導体チップ
101 メモリ領域
102 第1領域
101C 第2領域
101P SRAM−peri
131 ゲート誘電膜
133 第1ゲート電極
134 内側スペーサ
142 第1スペーサ
147 第2スペーサ
151 第3スペーサ
181 第2ゲート電極
183 エッチング停止膜
185 層間絶縁膜
221 基板
222 ウェル
223 活性領域
225 バッファ膜
229 素子分離膜
231 仮設ゲート誘電膜
233 仮設ゲート電極
235 第1マスクパターン
237 第2マスクパターン
242 第1スペーサ
243 LDD(lightly doped drain)
245 ハロー(halo)
247 第2スペーサ
249 高速エッチング領域(faster etch rate part)
251 第3スペーサ
253、255、255A、255B トレンチ
262 第2半導体膜
263 第3半導体膜
265 内蔵ストレッサ(embedded stressor)
271 層間絶縁膜
273 第1ゲート誘電膜
275 第2ゲート誘電膜
279 ゲート電極
322 ウェル
323 活性領域
E31、E32 端
329 素子分離膜
331 バッファ膜
333 仮設ゲート電極
335、337 マスクパターン
342、347、351 スペーサ
343 LDD(lightly doped drain)
345 ハロー(halo)
349 高速エッチング領域(faster etch rate part)
353、355 トレンチ
361、362、363 半導体膜
365 内蔵ストレッサ(embedded stressor)
371 層間絶縁膜
373、375 ゲート誘電膜
377、379 ゲート電極
1900 スマートフォン
2100 電子システム
2110 ボディ
2120 マイクロプロセッサユニット
2130 パワーユニット
2140 機能ユニット
2150 ディスプレイコントローラユニット
2160 ディスプレイユニット
2170 外部装置
2180 通信ユニット
2400 電子システム
2412 メモリシステム
2414 マイクロプロセッサ
2416 RAM
2418 ユーザインターフェース
2420 バス

Claims (29)

  1. 活性領域を有する基板を準備する段階と
    前記活性領域にLDD(lightly doped drain)を形成する段階と
    前記LDD内にリン(P)を含む高速エッチング領域を形成する段階と
    前記高速エッチング領域の少なくとも一部を除去して前記活性領域内に第1トレンチを形成する段階と
    方向性エッチング工程を用いて前記第1トレンチを拡張して前記活性領域内にノッチ部分(notched portion)を有する第2トレンチを形成する段階と、
    前記第2トレンチ内にストレッサ(stressor)を形成する段階と
    前記活性領域上にゲート電極を形成する段階と、を含み、
    前記活性領域内の前記ノッチ部分は、前記第2トレンチに露出された前記LDDの表面に位置することを特徴とする半導体素子の形成方法。
  2. 前記LDDは、ボロン(B)を含むことを特徴とする請求項1に記載の半導体素子の形成方法。
  3. 前記第1トレンチ形成する段階等方性エッチング工程を含み、
    前記第1トレンチは、前記高速エッチング領域に整列された上部トレンチ、及び前記LDDを貫通して前記上部トレンチの底面に連通されて前記上部トレンチよりも狭い幅を有する下部トレンチを含み、
    前記第1トレンチの側壁は段差(step)を有することを特徴とする請求項1に記載の半導体素子の形成方法。
  4. 前記第1トレンチ形成する段階異方性エッチング工程をさらに含み、
    前記異方性エッチング工程は前記等方性エッチング工程よりも先に実行されることを特徴とする請求項3に記載の半導体素子の形成方法。
  5. 前記活性領域の前記ノッチ部分は上部側壁及び前記上部側壁接触た下部側壁を含み、
    前記活性領域の上部表面(uppermost surface)と前記上部側壁との間の角度は鋭角であって、
    前記上部側壁は前記下部側壁と異なる傾斜をことを特徴とする請求項1に記載の半導体素子の形成方法。
  6. 前記上部側壁は、前記第2トレンチに露出された前記LDD表面に位置する融合インターフェース(convergence interface)で前記下部側壁接触ることを特徴とする請求項5に記載の半導体素子の形成方法。
  7. 前記ゲート電極は前記上部側壁前記下部側壁の前記融合インターフェース(convergence interface)に重畳され、
    前記上部側壁の端(edge portion)は前記ゲート電極の隣接した側壁の下に突出されていることを特徴とする請求項6に記載の半導体素子の形成方法。
  8. 前記高速エッチング領域は前記LDDよりも狭い幅を有することを特徴とする請求項1に記載の半導体素子の形成方法。
  9. 前記高速エッチング領域は前記LDDの底面よりも高いレベルに形成されていることを特徴とする請求項8に記載の半導体素子の形成方法。
  10. 前記LDDは前記活性領域と前記高速エッチング領域との間に保存されていることを特徴とする請求項9に記載の半導体素子の形成方法。
  11. 前記高速エッチング領域は前記LDDと前記ストレッサとの間に保存されていることを特徴とする請求項8に記載の半導体素子の形成方法。
  12. 前記LDDを形成する段階の前に、前記活性領域上に仮設ゲート電極を形成し前記仮設ゲート電極の側壁上に第1スペーサを形成する段階と
    前記ストレッサを形成する段階の後に、前記仮設ゲート電極を除去する段階とをさらに含むことを特徴とする請求項1に記載の半導体素子の形成方法。
  13. 前記高速エッチング領域を形成する段階の前に、前記活性領域上に仮設ゲート電極を形成し前記仮設ゲート電極の側壁上に第2スペーサを形成する段階と
    前記ストレッサを形成する段階の後に、前記仮設ゲート電極を除去する段階とをさらに含むことを特徴とする請求項1に記載の半導体素子の形成方法。
  14. 前記第1トレンチを形成する段階の前に、前記活性領域上に仮設ゲート電極を形成して前記仮設ゲート電極の側壁上に第3スペーサを形成する段階と
    前記ストレッサを形成する段階の後に、前記仮設ゲート電極を除去する段階とをさらに含むことを特徴とする請求項1に記載の半導体素子の形成方法。
  15. 前記ストレッサ(stressor)形成する段階は、
    前記第2トレンチ内に第1半導体膜を形成する段階と
    前記第1半導体膜上に第2半導体膜を形成する段階と
    前記第2半導体膜上に第3半導体膜を形成する段階とを含み、
    前記第1半導体膜及び前記第2半導体膜は前記活性領域と異なる物質を含むことを特徴とする請求項1に記載の半導体素子の形成方法。
  16. 前記第1半導体膜、前記第2半導体膜、及び前記第3半導体膜は、選択的エピタキシャル成長(selective epitaxial growth)技術を用いて形成されることを特徴とする請求項15に記載の半導体素子の形成方法。
  17. 前記第1半導体膜及び前記第2半導体膜はSiGe膜を含み、前記第2半導体膜内でのGe含有割合は前記第1半導体膜内よりも高いことを特徴とする請求項16に記載の半導体素子の形成方法。
  18. 前記第3半導体膜は、Si膜を含むことを特徴とする請求項17に記載の半導体素子の形成方法。
  19. 第1領域に規定された第1活性領域及び前記第1領域とパターン密度が異なる第2領域に規定された第2活性領域を有する基板を準備する段階と
    前記第1活性領域に第1LDD及び前記第2活性領域に第2LDDを形成する段階と
    前記第1活性領域にリン(P)を含む第1高速エッチング領域及び前記第2活性領域にリン(P)を含む第2高速エッチング領域を形成する段階と
    前記第1高速エッチング領域を除去して第1予備トレンチ及び前記第2高速エッチング領域を除去して第2予備トレンチを形成する段階と
    方向性エッチング工程を用いて、前記第1予備トレンチを拡張して前記第1活性領域内に第1ノッチ部分(notched portion)を有する第1トレンチ及び前記第2予備トレンチを拡張して前記第2活性領域内に第2ノッチ部分(notched portion)を有する第2トレンチを形成する段階と
    前記第1トレンチ内に第1ストレッサ及び前記第2トレンチ内に第2ストレッサを形成する段階と
    前記第1活性領域上に第1ゲート電極及び前記第2活性領域上に第2ゲート電極を形成する段階を含むことを特徴とする半導体素子の形成方法。
  20. 前記第1活性領域の前記第1ノッチ部分は、第1融合インターフェース(convergence interface)で第1下部側壁と接触された第1上部側壁を含み、
    前記第2活性領域の前記第2ノッチ部分は、第2融合インターフェース(convergence interface)で第2下部側壁と接触された第2上部側壁を含み、
    前記第1ゲート電極は、前記第1融合インターフェースに重畳され、
    前記第2ゲート電極は、前記第2融合インターフェースに重畳されず、
    前記第1融合インターフェースは、前記第2融合インターフェースよりも高いレベルに形成されていることを特徴とする請求項19に記載の半導体素子の形成方法。
  21. 前記第1融合インターフェースと前記第1ゲート電極の側面との間の水平距離は0nm〜−5nmであり、
    前記第2融合インターフェースと前記第2ゲート電極の側面の間の水平距離は+1nm+3nmであることを特徴とする請求項20に記載の半導体素子の形成方法。
  22. 前記第1融合インターフェースと前記第1活性領域の上部表面との間の垂直高さは3nm7nmであり、
    前記第2融合インターフェースと前記第2活性領域の上部表面との間の垂直高さは8nm12nmであることを特徴とする請求項20に記載の半導体素子の形成方法。
  23. 前記第1融合インターフェースは前記第1トレンチに露出された前記第1LDDの表面に形成され、前記第2融合インターフェースは前記第2トレンチに露出された前記第2LDDの表面に形成され、
    前記第2LDDは前記第1LDDよりも高い濃度のボロン(B)を含むことを特徴とする請求項20に記載の半導体素子の形成方法。
  24. 前記第2高速エッチング領域は前記第1高速エッチング領域よりも低い濃度のリン(P)を含むことを特徴とする請求項19に記載の半導体素子の形成方法。
  25. 基板上にゲート構造を形成する段階と
    前記ゲート構造の側壁に隣接した前記基板内にLDDを形成する段階と、
    前記基板内の少なくとも前記基板内に形成されたLDD内に前記ゲート構造の前記側壁に隣接したドーピングパターン(doped pattern)を形成する段階と
    前記ゲート構造をエッチングマスクとして用いて前記ドーピングパターンの一部分をエッチングして前記ドーピングパターンが露出された側壁を有する第1予備キャビティを形成する段階と
    前記第1予備キャビティの側壁に露出されたドーピングパターンを選択的にエッチングして第2予備キャビティを形成する段階と
    方向性エッチング工程を用いて、前記第2予備キャビティの露出した表面をエッチングしてキャビティを形成する段階と
    前記キャビティ内にストレッサを形成する段階含み、
    前記キャビティは前記ゲート構造の下のリセスを含み、前記リセスは上部側壁及び前記上部側壁に接触した下部側壁からなる2つの収斂する傾斜部(two converging sloped portion)を含むノッチ部分を有し、
    前記ノッチ部分は、前記キャビティに露出された前記LDDの表面に位置することを特徴とする半導体素子形成方法。
  26. 前記ドーピングパターンを形成する段階は、
    前記ゲート構造をイオン注入マスクとして用いて前記基板内にイオン注入する段階を含むことを特徴とする請求項25に記載の半導体素子形成方法。
  27. 前記イオン注入する段階は、前記基板内にリン(P)を注入する段階を含むことを特徴とする請求項26に記載の半導体素子形成方法。
  28. 前記LDDを形成する段階の後に、前記ゲート構造の側壁上にスペーサパターンを形成する段階をさらに含み、
    前記イオン注入する段階前記スペーサパターン及び前記ゲート構造をイオン注入マスクとして用いて実行する段階を含むことを特徴とする請求項26に記載の半導体素子形成方法。
  29. 前記方向性エッチング工程は、前記基板の結晶方向に依存して多数の異なったエッチレート(etch rate)で前記基板をエッチングするエッチング工程を含むことを特徴とする請求項25に記載の半導体素子形成方法。
JP2013219213A 2012-11-22 2013-10-22 リセス内のストレッサを有する半導体素子の形成方法 Active JP6310224B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020120133248A KR102059526B1 (ko) 2012-11-22 2012-11-22 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
KR10-2012-0133248 2012-11-22

Publications (2)

Publication Number Publication Date
JP2014107546A JP2014107546A (ja) 2014-06-09
JP6310224B2 true JP6310224B2 (ja) 2018-04-11

Family

ID=50625735

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013219213A Active JP6310224B2 (ja) 2012-11-22 2013-10-22 リセス内のストレッサを有する半導体素子の形成方法

Country Status (6)

Country Link
US (5) US9214530B2 (ja)
JP (1) JP6310224B2 (ja)
KR (1) KR102059526B1 (ja)
CN (1) CN103839890B (ja)
DE (4) DE202013012865U1 (ja)
TW (1) TWI608615B (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
TWI605592B (zh) 2012-11-22 2017-11-11 三星電子股份有限公司 在凹處包括一應力件的半導體裝置及其形成方法(二)
US9401365B2 (en) * 2013-12-19 2016-07-26 Texas Instruments Incorporated Epitaxial source/drain differential spacers
KR102193493B1 (ko) * 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
FR3018139B1 (fr) * 2014-02-28 2018-04-27 Stmicroelectronics (Rousset) Sas Circuit integre a composants, par exemple transistors nmos, a regions actives a contraintes en compression relachees
US9941388B2 (en) * 2014-06-19 2018-04-10 Globalfoundries Inc. Method and structure for protecting gates during epitaxial growth
KR102265718B1 (ko) * 2014-08-29 2021-06-16 인텔 코포레이션 고 종횡비의 좁은 구조체들을 다수의 금속 층들로 채우기 위한 기술 및 관련 구성들
KR102324627B1 (ko) * 2014-10-31 2021-11-10 삼성전자주식회사 자기 저항 소자를 포함하는 반도체 소자
US9847333B2 (en) * 2015-03-09 2017-12-19 Globalfoundries Inc. Reducing risk of punch-through in FinFET semiconductor structure
CN106206304B (zh) * 2015-05-05 2019-04-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10026837B2 (en) * 2015-09-03 2018-07-17 Texas Instruments Incorporated Embedded SiGe process for multi-threshold PMOS transistors
US9911849B2 (en) * 2015-12-03 2018-03-06 International Business Machines Corporation Transistor and method of forming same
US10276715B2 (en) * 2016-02-25 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10141443B2 (en) * 2016-03-24 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices FinFET devices with optimized strained-sourece-drain recess profiles and methods of forming the same
KR102486477B1 (ko) * 2016-05-31 2023-01-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102568562B1 (ko) * 2017-01-24 2023-08-18 삼성전자주식회사 반도체 장치
US20190088766A1 (en) * 2017-09-21 2019-03-21 Globalfoundries Inc. Methods of forming epi semiconductor material in source/drain regions of a transistor device formed on an soi substrate
CN109671674A (zh) * 2017-10-13 2019-04-23 联华电子股份有限公司 半导体装置的制作方法
US10249510B1 (en) * 2018-02-28 2019-04-02 United Microelectronics Corp. Etching method
CN116153863A (zh) 2018-03-08 2023-05-23 蓝枪半导体有限责任公司 半导体元件及其制作方法
JP7034834B2 (ja) 2018-05-30 2022-03-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR102516879B1 (ko) * 2018-08-17 2023-03-31 삼성전자주식회사 다양한 선폭을 가지는 반도체 소자 및 이의 제조 방법
US11205597B2 (en) * 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102019111297B4 (de) 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11111598B2 (en) * 2019-06-28 2021-09-07 Kabushiki Kaisha Toshiba Crystal growth method in a semiconductor device
KR20210017167A (ko) * 2019-08-07 2021-02-17 삼성전자주식회사 반도체 소자
US11063006B1 (en) * 2020-02-21 2021-07-13 Nanya Technology Corporation Semiconductor device structure with fine patterns forming varied height spacer and method for forming the same
KR20210130899A (ko) 2020-04-22 2021-11-02 삼성디스플레이 주식회사 디스플레이 장치
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
US11393915B2 (en) 2020-12-09 2022-07-19 Globalfoundries U.S. Inc. Epi semiconductor structures with increased epi volume in source/drain regions of a transistor device formed on an SOI substrate
TWI833182B (zh) * 2021-12-27 2024-02-21 南亞科技股份有限公司 半導體元件結構
US11646353B1 (en) * 2021-12-27 2023-05-09 Nanya Technology Corporation Semiconductor device structure

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03276730A (ja) * 1990-03-27 1991-12-06 Matsushita Electron Corp Mosトランジスタおよびその製造方法
JP2673384B2 (ja) * 1990-06-25 1997-11-05 三菱電機株式会社 半導体装置およびその製造方法
JP2006135340A (ja) * 1994-09-13 2006-05-25 Toshiba Corp 半導体装置
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
KR100487564B1 (ko) 2003-07-07 2005-05-03 삼성전자주식회사 높여진 소오스/드레인 영역을 갖는 반도체 소자 및 그제조방법
US7045407B2 (en) * 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
KR100642747B1 (ko) 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
JP4867176B2 (ja) 2005-02-25 2012-02-01 ソニー株式会社 半導体装置の製造方法
US20060234455A1 (en) 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US7358551B2 (en) 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
JP2007220808A (ja) 2006-02-15 2007-08-30 Toshiba Corp 半導体装置及びその製造方法
DE102006015077B4 (de) * 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US20080217686A1 (en) 2007-03-09 2008-09-11 International Business Machines Corporation Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US7732285B2 (en) 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
JP4896789B2 (ja) * 2007-03-29 2012-03-14 株式会社東芝 半導体装置の製造方法
JP5168274B2 (ja) 2007-05-14 2013-03-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5380794B2 (ja) 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
US7833852B2 (en) 2007-07-23 2010-11-16 Freescale Semiconductor, Inc. Source/drain stressors formed using in-situ epitaxial growth
US7652332B2 (en) 2007-08-10 2010-01-26 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
US7781799B2 (en) 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
JP5211647B2 (ja) 2007-11-01 2013-06-12 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP2009123961A (ja) 2007-11-15 2009-06-04 Toshiba Corp 半導体装置及びその製造方法
JP5168287B2 (ja) * 2008-01-25 2013-03-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7955909B2 (en) 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
KR101107204B1 (ko) 2008-12-29 2012-01-25 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
DE102009015715B4 (de) 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8558289B2 (en) * 2009-07-30 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors having a composite strain structure, integrated circuits, and fabrication methods thereof
US8120120B2 (en) 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US8035141B2 (en) 2009-10-28 2011-10-11 International Business Machines Corporation Bi-layer nFET embedded stressor element and integration to enhance drive current
DE102009047308B4 (de) 2009-11-30 2012-09-20 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Verringern des Reihenwiderstands in komplexen Transistoren durch zuverlässiges Einbetten von Metallsilizidkontakten in hochdotiertes Halbleitermaterial bei der Herstellung
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
JP2011146465A (ja) 2010-01-13 2011-07-28 Fujitsu Semiconductor Ltd 半導体装置およびその製造方法
JP2011151318A (ja) 2010-01-25 2011-08-04 Renesas Electronics Corp 半導体装置およびその製造方法
US8198194B2 (en) 2010-03-23 2012-06-12 Samsung Electronics Co., Ltd. Methods of forming p-channel field effect transistors having SiGe source/drain regions
US8299535B2 (en) 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
US8278166B2 (en) 2010-07-16 2012-10-02 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor device
JP5614184B2 (ja) * 2010-09-06 2014-10-29 富士通セミコンダクター株式会社 半導体装置の製造方法
US8357574B2 (en) 2010-10-14 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating epitaxial structures
US8501570B2 (en) * 2010-10-20 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing source/drain structures
JP2012089784A (ja) 2010-10-22 2012-05-10 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8435848B2 (en) 2010-10-28 2013-05-07 Texas Instruments Incorporated PMOS SiGe-last integration process
US20120146142A1 (en) 2010-12-14 2012-06-14 Institute of Microelectronics, Chinese Acaademy of Sciences Mos transistor and method for manufacturing the same
KR20120073727A (ko) 2010-12-27 2012-07-05 삼성전자주식회사 스트레인드 반도체 영역을 포함하는 반도체 소자와 그 제조방법, 및 그것을 포함하는 전자 시스템
US8796788B2 (en) * 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
US8835982B2 (en) 2011-02-14 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
KR20140039544A (ko) * 2012-09-24 2014-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102059526B1 (ko) 2012-11-22 2019-12-26 삼성전자주식회사 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9029912B2 (en) 2013-01-11 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor substructure having elevated strain material-sidewall interface and method of making the same

Also Published As

Publication number Publication date
US20160079424A1 (en) 2016-03-17
DE102013112701B4 (de) 2022-04-21
CN103839890A (zh) 2014-06-04
US9741855B2 (en) 2017-08-22
US20140141589A1 (en) 2014-05-22
CN103839890B (zh) 2018-10-26
US9520497B2 (en) 2016-12-13
US9397216B2 (en) 2016-07-19
US20160064565A1 (en) 2016-03-03
DE202013012863U1 (de) 2020-11-03
DE202013012865U1 (de) 2020-11-03
KR20140066042A (ko) 2014-05-30
DE102013112701A1 (de) 2014-05-22
TW201421687A (zh) 2014-06-01
US20170110581A1 (en) 2017-04-20
JP2014107546A (ja) 2014-06-09
US20160087101A1 (en) 2016-03-24
DE102013022620B3 (de) 2022-05-25
US9537009B2 (en) 2017-01-03
US9214530B2 (en) 2015-12-15
KR102059526B1 (ko) 2019-12-26
TWI608615B (zh) 2017-12-11

Similar Documents

Publication Publication Date Title
JP6310224B2 (ja) リセス内のストレッサを有する半導体素子の形成方法
US9768300B2 (en) Semiconductor devices including a stressor in a recess and methods of forming the same
US9985036B2 (en) Semiconductor device having embedded strain-inducing pattern and method of forming the same
US9240481B2 (en) Semiconductor device having embedded strain-inducing pattern
US9299812B2 (en) Method of forming semiconductor device having stressor
US20150255607A1 (en) Semiconductor device having stressor and method of fabricating the same
KR102037864B1 (ko) 내장 스트레서를 갖는 반도체 소자 및 그 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160905

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20161222

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20161228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170511

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180316

R150 Certificate of patent or registration of utility model

Ref document number: 6310224

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250