KR20110050713A - 변형 반도체 디바이스용 구배진 하이 게르마늄 화합물 박막 - Google Patents

변형 반도체 디바이스용 구배진 하이 게르마늄 화합물 박막 Download PDF

Info

Publication number
KR20110050713A
KR20110050713A KR1020117007592A KR20117007592A KR20110050713A KR 20110050713 A KR20110050713 A KR 20110050713A KR 1020117007592 A KR1020117007592 A KR 1020117007592A KR 20117007592 A KR20117007592 A KR 20117007592A KR 20110050713 A KR20110050713 A KR 20110050713A
Authority
KR
South Korea
Prior art keywords
germanium
layer
flow rate
silicon
transition layer
Prior art date
Application number
KR1020117007592A
Other languages
English (en)
Inventor
다니엘 시모넬리
아난드 머시
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20110050713A publication Critical patent/KR20110050713A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

구배진 하이 게르마늄 화합물 영역을 제공하기 위한 장치 및 방법의 실시예에 대해서 일반적으로 본 명세서에서 설명한다. 다른 실시예가 기재되고 청구될 수도 있다.

Description

변형 반도체 디바이스용 구배진 하이 게르마늄 화합물 박막{GRADED HIGH GERMANIUM COMPOUND FILMS FOR STRAINED SEMICONDUCTOR DEVICES}
본 발명은 일반적으로 반도체 집적 회로의 제조 분야에 관한 것으로, 보다 구체적으로는, 구배진(graded) 게르마늄 함유의 화합물층을 구비하는 평면형(planar) 3차원 CMOS(complementary metal oxide semiconductor) 디바이스에 관한 것이다.
종래의 MOS(metal oxide semiconductor) 전계 효과 트랜지스터에서, 소스, 채널 및 드레인 구조는 동일면 내에서 서로 인접하게 구성되어 있다. 통상, 게이트 유전체는 채널 영역 상에 형성되고, 게이트 전극은 게이트 유전체 상에 증착된다. 트랜지스터는 게이트 전극에 전압을 인가함으로써 제어되기 때문에, 소스와 드레인 사이의 채널을 통해서 전류가 흐를 수 있게 된다.
평면형 MOS 트랜지스터를 구성하는 다른 방법은, 평면적인 설계의 크기를 줄이는 것에 대한 물리적 장벽의 일부를 완화하는데 적용된다. 이 다른 방법은, 종래의 평면형 MOS 트랜지스터를 대신하여, 듀얼 게이트(dual-gate) 전계 효과 트랜지스터(FinFET) 또는 트라이 게이트(tri-gate) 전계 효과 트랜지스터와 같은 멀티 게이트 트랜지스터의 형태로 3차원의 MOS 트랜지스터를 구성한다.
FinFET와 트라이 게이트 전계 효과 트랜지스터와 같은 3차원의 트랜지스터 설계는, 게이트에서의 수직면 또는 경사면을 이용함으로써, 반도체칩 상의 동일수의 트랜지스터를 보다 타이트하게 패킹할 수 있게 한다. 트라이 게이트 전계 효과 트랜지스터는 본체의 3개의 노출면 상에 위치한 실질적으로 동일한 길이의 3개의 게이트를 구비하는 반면에, FinFET는 좁은 본체 측 또는 핀(fin) 측을 따라 위치한 동일한 길이의 2개의 게이트를 구비한다.
본 발명의 상기한 측면 및 그에 수반되는 다수의 이점은, 첨부 도면과 함께 이하의 상세한 설명을 참조함으로써 보다 용이하게 이해될 것이고, 특정되지 않는 한 다수의 부분에서 동일한 참조 번호는 동일한 부분을 지칭한다.
도 1은 기판 상의 게이트 전극을 나타내는 평면형 MOS 디바이스의 단면도,
도 2는 게이트 전극 측에 형성된 스페이서를 구비한 도 1의 디바이스를 나타내는 도면,
도 3은 소스/드레인 및 소스/드레인 확장 캐비티를 형성하기 위해 기판의 일부를 에칭한 후의 도 2의 디바이스를 나타내는 도면,
도 4는 소스/드레인 및 소스/드레인 확장 캐비티를 웨트 에칭한 후의 도 3의 디바이스를 나타내는 도면,
도 5는 소스/드레인 및 소스/드레인 확장 영역을 형성한 후의 도 4의 디바이스를 나타내는 도면,
도 6은 멀티 게이트 본체(multi-gate body) 상에 유전체층을 갖는 멀티 게이트 디바이스를 나타내는 도면,
도 7은 유전체층 상에 일함수 금속층(workfunction metal layer)을 증착한 후의 도 6의 디바이스를 나타내는 도면,
도 8은 일함수 금속층 상에 보호 마스크를 형성한 후의 도 7의 디바이스를 나타내는 도면,
도 9는 게이트 전극을 형성하기 위해 일함수 금속층을 이방성으로 에칭한 후의 도 8의 디바이스를 나타내는 도면,
도 10은 게이트 전극 상에 게이트 분리 스페이서를 형성한 후의 도 9의 디바이스를 나타내는 도면,
도 11은 채널 영역을 마련하기 위해 본체의 일부를 제거한 후의 도 10의 디바이스를 나타내는 도면,
도 12는 에피택셜 소스/드레인 영역을 형성한 후의 도 11의 디바이스를 나타내는 도면,
도 13은 구배진 하이 게르마늄 화합물 박막(graded high germanium compound film)을 구비하는 중앙 처리 장치를 갖는 시스템을 나타내는 도면,
도 14는 기판 상에 구배진 하이 게르마늄 함유의 실리콘 게르마늄 영역(graded high-germanium content silicon-germanium region)을 나타내는 도면,
도 15는 구배진 하이 게르마늄 함유의 실리콘 게르마늄 영역을 갖는 변형(strained) 반도체 디바이스를 형성하는데 사용되는 제조 프로세스의 일실시예를 설명하는 흐름도.
다양한 실시예에서, 기판 상에서 구배진 게르마늄 함유의 화합물층을 형성하는 장치 및 방법의 다양한 실시예를 도시 및 설명한다. 그러나, 당업자라면, 다양한 실시예가 하나 이상의 특정한 상세 없이도 실시될 수 있고, 또는 다른 대체 및/또는 추가적인 방법, 물질 또는 구성요소를 갖고서 실시될 수 있음을 알 것이다. 다른 경우에, 본 발명의 다양한 실시예의 측면을 명확하게 하기 위해, 공지된 구조, 물질, 또는 동작에 대해서는 도시하지 않거나 상세하게 설명하지 않는다. 마찬가지로, 설명을 위해서, 특정한 번호, 물질, 및 구성은 본 발명의 완전한 이해를 위해 제시된다. 그럼에도 불구하고, 본 발명은 특정한 상세를 갖지 않고서 구현될 수 있다. 게다가, 도면에 도시된 다양한 실시예가 예시적인 설명이고, 반드시 실제 크기로 그려진 것이 아님을 이해해야 한다.
본 명세서의 전반에 걸쳐 참조되는 "일실시예" 또는 "실시예"란, 그 실시예와 관련하여 기재된 특정한 특징, 구조, 물질, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되는 것을 의미하지만, 그것들이 모든 실시예에 존재하는 것을 나타내지는 않는다. 따라서, 본 명세서의 전반에 걸쳐 여러 부분에 있어서 "일실시예에서" 또는 "실시예에서"란 구문의 출현은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 특징, 구조, 물질, 또는 특성은 하나 이상의 실시예에 있어서 임의의 적절한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조가 포함될 수 있고, 및/또는, 설명되는 특징은 다른 실시예에서 생략될 수도 있다.
다양한 동작들은, 본 발명의 이해에 가장 도움이 되는 방식으로, 다수의 별개의 동작으로서 차례대로 설명될 것이다. 그러나, 설명의 순서는, 이들 동작들이 반드시 순서대로 되어야 함을 의미하는 것으로 간주되지 말아야 한다. 특히, 이들 동작은 설명 순서대로 수행될 필요는 없다. 설명되는 동작들은 설명되는 실시예와는 상이한 순서로 수행될 수도 있다. 다양한 추가적인 동작들이 수행될 수 있고, 및/또는 설명되는 동작들은 추가적인 실시예에서 생략될 수도 있다.
평면형의 멀티 게이트 MOS 트랜지스터의 성능은, 트랜지스터의 소스 및 드레인 영역에서의 실리콘 게르마늄 합금 등의, 구배진 하이 게르마늄 함유 화합물의 구현을 통해서 개선될 수 있다. 원하는 결정 구조를 갖는 실리콘 게르마늄 물질의 구배진 하이 게르마늄 함유 영역을, 바람직한 박막 성장 레이트로 선택적으로 형성하기 위한 방법을 제공함으로써, 반도체 디바이스 제조의 기술을 발전시킬 것이다. 층두께의 웨이퍼 균일성 및 게르마늄 농도가 향상된 구배진 하이 게르마늄 함유의 실리콘-게르마늄 영역을 선택적으로 형성하기 위한 기술을 더욱 발전시킬 것이다. 또한, 구배진 하이 게르마늄 함유의 실리콘-게르마늄 영역을, 제조 환경에서 비용 효율이 높은 성장 레이트로 성장시키기 위한 기술을 발전시킬 것이다. 예컨대, pMOS 디바이스의 소스/드레인(S/D) 영역에 있어서 구배진 천이층을 포함한 변형 하이 게르마늄 화합물 박막의 사용은, 변형 구성으로 인한 접촉 저항의 감소와 이동성 향상의 조합된 효과를 제공할 수 있다. 보다 구체적으로, 게르마늄 농도의 이론적 한계에 가까운 완전한 변형(full strain)을 갖는 구배진 천이층을 제공하기 위한 기술을 발전시킬 것이다. 이들 이점은 이하에서 설명되는 장치 및 방법에 의해 발생된 수 있다.
이제 도면으로 돌아가서, 도 1은 기판(110) 상의 게이트 스택(150)을 나타내는 평면형 MOS 디바이스(100)의 단면도이다. 기판(110)은 실리콘 온 절연체(silicon-on-insulator) 기판을 선택적으로 포함하는 벌크 실리콘을 구비할 수 있다. 이와 달리, 기판(110)은, 실리콘과 결합되거나 또는 결합되지 않을 수 있는 다른 물질, 예를 들어 게르마늄, 인듐 안티몬화물, 납 텔루라이드, 인듐 비소화물, 인듐 인화물, 갈륨 비소화물, 또는 갈륨 안티몬화물을 구비할 수 있다. 기판(110)을 형성할 수 있는 물질에 대한 몇 가지 예를 본 명세서에서 설명하지만, 반도체 디바이스를 구성할 수 있는 데 기반으로서 기능할 수 있는 소정의 물질은 본 발명의 범위 내에 존재한다.
여기서 설명되는 구현예에서, 게이트 스택(150)은 게이트 유전체층(120)과 희생 게이트 전극(130)을 포함할 수 있다. 다른 구현예에서, 게이트 스택(150)은 실리콘 이산화물 게이트 유전체층과 폴리실리콘 게이트 전극을 포함할 수 있다. 게이트 유전체층(120)은 실리콘 이산화물 또는 하이-k(high-k) 유전체 물질 등의 물질로 형성될 수 있다. 사용될 수 있는 하이-k 게이트 유전체 물질의 예로는, 하프늄 산화물, 하프늄 실리콘 산화물, 란타넘 산화물, 란타넘 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈럼 산화물, 타이타늄 산화물, 바륨 스트론튬 타이타늄 산화물, 바륨 타이타늄 산화물, 스트론튬 타이타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈럼 산화물, 납 아연 니오베이트를 포함하지만, 이에 제한되는 것은 아니다. 일부 실시예에서, 게이트 유전체층(120)은 대략 5Å~대략 50Å 사이의 두께일 수 있다. 다른 실시예에서, 하이-k 물질의 품질을 개선하기 위해, 어닐링 처리와 같은 추가적인 처리를 게이트 유전체층(120) 상에서 수행할 수도 있다.
또한, 게이트 스택(150)은, 소정의 이점을 제공하거나, 후속의 이온 주입 프로세스로부터 게이트 전극(130)을 보호하는 등의 처리시에 사용하는 게이트 하드 마스크층(140)을 포함할 수도 있다. 본 발명의 구현예에서, 상기 하드 마스크층(140)은, 종래의 유전체 물질과 같이, 하드 마스크로서 통상적으로 사용되는 물질을 이용해서 형성될 수 있다. 게이트 스택이 형성된 후에, 도 2에 도시된 바와 같이, 게이트 스택(150)에 인접한 기판(110)의 도핑된 부분(210)을 형성하기 위해 이온 주입 프로세스가 수행된다.
도 2는, 기판(110)에 있어서 도핑된 부분(210)을 형성하고 게이트 스택(150)의 측면에 스페이서(220)를 형성한 후의 도 1의 디바이스를 나타낸다. 적절한 에천트에 대해 노출되면, 도핑된 부분(210)은 주변의 기판 물질의 에칭 레이트보다 높은 레이트로 에칭될 것이다. 도핑된 부분(210) 중 하나는, 형성되어 있는 MOS 트랜지스터에 있어서, 자기 정렬된(self-aligned) 에피택셜 소스 확장부를 포함한 소스 영역의 일부로서 기능한다. 다른 도핑된 부분(210)은, MOS 트랜지스터에 있어서, 자기 정렬된 에피택셜 드레인 확장부를 포함한 드레인 영역의 일부로서 기능한다. 도시된 구현예에서, 도핑된 부분의 영역은 게이트 유전체층(120) 아래에 위치한다. 본 발명의 다양한 구현예에서, 도핑된 부분(210)의 깊이를 포함한 크기는, 형성되어 있는 MOS 트랜지스터의 요구 조건에 따라 변화될 수 있다.
스페이서(220)는, 실리콘 산화물 또는 실리콘 질화물을 포함하지만 이에 제한되지 않는 종래의 물질을 이용해서 형성될 수 있다. 스페이서(220)의 폭은, 형성되어 있는 MOS 트랜지스터에 대한 설계 요구 조건에 따라 선택될 수 있다. 본 발명의 구현예에 의하면, 스페이서(220)의 폭은 에피택셜 소스 및 드레인 확장부의 형성에 의해 부여된 설계 제약을 받지 않는다.
스페이서(220)를 기판(110) 상에 형성한 후에, 도핑된 부분(210)을 에칭하고 기판의 일부를 에칭하기 위해 드라이 에칭 프로세스를 수행하여, 소스/드레인 영역과 소스/드레인 확장부가 형성될 수 있는 캐비티를 형성할 수 있다. 에칭된 캐비티는 게이트 스택(150)에 인접해 있다. 에칭된 캐비티는 50nm~1500nm의 깊이로 형성될 수 있고, 이 깊이는 도핑된 영역보다 깊다. 따라서, 에칭 프로세스는 도핑된 부분(210)에 인접한 최소량의 기판 물질을 제거한다.
드라이 에칭 프로세스는, 도핑된 영역의 에칭 레이트를 증가시키기 위해, 이온 주입 프로세스에서 사용된 도펀트를 보완하는 에천트 레시피를 채용한다. 이러한 에칭 프로세스에 의해 기판의 나머지 부분보다 빠른 레이트로 도핑된 영역을 제거할 수 있다. 따라서, 에칭 레이트의 적절한 증가에 의해, 에칭 프로세스는 캐비티의 에칭이 완료할 때까지, 도핑된 부분(210)의 물질 전부를 실질적으로 제거할 수 있다. 이는, 스페이서와 게이트 유전체층을 약화시키는 도핑된 영역의 일부를 포함하기 때문에, 트랜지스터의 자기 정렬된 확장 구조를 규정한다. 도핑된 부분(210)의 에칭 레이트의 증가에 의해, 에칭된 소스 및 드레인 확장 캐비티는 스페이서 및 게이트 유전체층을 약화시킬 수 있다.
본 발명의 구현예에 의하면, 드라이 에칭 프로세스는 플라즈마 리액터(reactor)에서 발생하는 염화 화학 물질을 사용할 수 있다. 일실시예에서, 에천트 레시피는 버퍼 또는 캐리어 가스로서 사용되는 아르곤 또는 헬륨과 NF3 및 Cl2과의 조합으로 이루어질 수 있다. 액티브 에천트 종류의 유량은 50~200SCCM(standard cubic centimeters per minute) 사이에서 변화될 수 있는 반면에, 캐리어 가스의 유량은 150~400SCCM 사이에서 변화될 수 있다. 고에너지 플라즈마는 100W 미만의 낮은 RF 바이어스를 갖고서 700W로부터 1100W에 이르는 파워로 채용될 수 있다. 리액터 압력은 대략 1파스칼(Pa)로부터 대략 2Pa에 이를 수 있다.
다른 구현예에서, 에천트 화학 물질은 HBr과 Cl2의 조합으로 이루어질 수 있다. 에천트 종류의 유량은 40SCCM~100SCCM 사이에서 변화될 수 있다. 고에너지 플라즈마는 100W 미만의 낮은 RF 바이어스를 갖고서 대략 600W로부터 대략 1000W에 이르는 파워로 채용될 수 있다. 리액터 압력은 대략 0.3Pa로부터 대략 0.8Pa에 이를 수 있다. 다른 구현예에서, 에천트 화학 물질은 SF6와 Cl2의 조합으로 이루어질 수 있다. SF6 유량은 3SCCM~10SCCM 사이에서 변화될 수 있고, Cl2 유량은 20SCCM~60SCCM 사이에서 변화될 수 있다. 고에너지 플라즈마는, 50W 미만의 RF 바이어스를 갖고서 또는 RF 바이어스를 갖지 않고서, 대략 400W로부터 900W에 이르는 파워로 채용될 수 있다. 본 구현예에서, SF6 유량과 리액터 압력은, 제거 레이트를 감소시키고 제어를 최대화하기 위해 낮게 유지될 수 있다. 예컨대, 리액터 압력은 대략 0.1Pa로부터 대략 0.5Pa에 이를 수 있다. 또 다른 구현예에서, 에천트 화학 물질은 Ar 및 Cl2의 조합으로 이루어질 수 있다. 여기서, 에천트 종(species)의 유량은 40SCCM과 80SCCM 사이에서 변화될 수 있다. 중간 에너지 플라즈마는, 대략 100W와 200W 사이의 높은 RF 바이어스를 갖고서, 대략 400W로부터 대략 800W에 이르는 파워로 채용될 수 있다. 리액터 압력은 대략 1Pa로부터 대략 2Pa에 이를 수 있다.
도 3은 소스/드레인 및 소스/드레인 확장 캐비티를 형성하기 위해, 기판(110)의 일부를 에칭한 후의 도 2의 디바이스를 나타낸다. 도시된 바와 같이, 소스 영역 캐비티(310)와 드레인 영역 캐비티(320)가 형성되어 있다. 또한, 도핑된 부분(210)의 에칭에 의해, 소스 확장 캐비티(330)와 드레인 확장 캐비티(340)가 형성되었다. 도핑된 부분(210)의 에칭 레이트를 증가시키는 에천트 레시피와 도펀트의 사용으로 인해, 스페이서(220)의 두께는, 소스 확장 캐비티(330)와 드레인 확장 캐비티(340)의 에칭에 최소한의 영향을 미친다.
드라이 에칭 프로세스가 완료한 후에, 소스 영역 캐비티(310), 소스 확장 캐비티(330), 드레인 영역 캐비티(320), 드레인 확장 캐비티(340)를 클리닝하고 더 에칭하는데 웨트 에칭 프로세스가 적용될 수 있다. 실리콘 및 산화 물질을 클리닝하기 위해 종래 공지된 통상의 웨트 에칭 화학 물질을 사용할 수 있다. 예컨대, 결정학적 면을 따라 실리콘을 제거할 수 있는 웨트 에칭 화학 물질이 사용될 수 있다.
웨트 에칭은 적어도 2개의 목적을 갖는다. 첫 번째로, 웨트 에칭은, 후속 프로세스가 수행될 수 있는 깨끗한 표면을 제공하기 위해, 탄소, 불소, 염화불화탄소 등의 오염 물질과, 실리콘 산화물 등의 산화물을 제거한다. 두 번째로, 웨트 에칭은, 고품질의 에피택셜 증착이 일어날 수 있는 매끄러운 표면을 제공하기 위해, <111>과 <001>의 결정학적 면을 따라 기판의 얇은 부분을 제거한다. 에칭되는 기판의 얇은 부분은 최대 5nm의 두께일 수 있고, 또한 남아 있는 오염 물질을 제거할 수 있다. 도 4에 도시된 바와 같이, 웨트 에칭에 의해, 소스 영역 캐비티(310), 소스 확장 캐비티(330), 드레인 영역 캐비티(320), 드레인 확장 캐비티(340)의 에지가 <111> 및 <001>의 결정학적 면을 따르게 된다. 또한, 소스 및 드레인 확장부(330, 340)가 종래 처리에서 발생하는 탄환 형상(bulleted)의 프로파일을 갖지 않음을 유의해야 한다.
에칭 프로세스 후에, 소스 및 드레인 확장부를 포함한 소스 및 드레인 영역 캐비티는, 선택적 에피택셜 증착 프로세스를 이용해서, 실리콘 게르마늄 합금과 같은 화합물 박막으로 채워질 수 있다. 에피택셜 증착 프로세스는, 한 번의 프로세스로 소스 및 드레인 영역과 소스 및 드레인 확장부를 형성하는데 사용된다. 일부 구현예에서, 실리콘 합금은, 인시츄(in-situ) 도핑된 실리콘 게르마늄일 수 있고, 이 인시츄 도핑된 실리콘 게르마늄은 하나 이상의 붕소 및/또는 인으로 도핑될 수 있다.
구현예에서, 소스 및 드레인 영역 캐비티 내에 증착된 실리콘 게르마늄 합금은, 기판(110)을 형성하는데 사용되는 단일 물질 또는 복수 물질의 격자간 거리와는 상이한 격자간 거리를 갖는다. 격자간 거리의 차이는, 소스 확장 캐비티(330)와 드레인 확장 캐비티(340) 내에 하이 게르마늄 화합물 합금을 증착함으로써 강조되는 MOS 트랜지스터의 채널에서의 인장력 또는 수축력을 유도한다.
본 발명의 구현예에 의하면, PMOS 트랜지스터에 있어서, 소스 영역 캐비티(310) 및 드레인 영역 캐비티(320)는, 도 15에 있어서 이하에 설명되는 실시예와 같은 하나의 방법 또는 복수의 방법에 따라, 구배진 하이 게르마늄 함유 실리콘 게르마늄 영역과 같은 구배진 게르마늄 실리콘 합금으로 채워질 수 있다. 구배진 게르마늄 실리콘 합금은 10원자%로부터 50원자%에 이를 수 있는 구배진 게르마늄 농도로 에피택셜하게 증착될 수 있다. 다른 구현예에서, 구배진 게르마늄 실리콘 합금은 원래 위치에 붕소로 더 도핑될 수 있다. 붕소 농도는 2×1019/㎤로부터 7×1020/㎤에 이를 수 있다. 이 실시예에서, 구배진 게르마늄 실리콘 합금의 두께는 40Å로부터 1500Å에 이를 수 있다.
도 5는, 소스 영역(510)을 형성하기 위해, 소스 영역 캐비티(310)가 도 14에 도시된 구배진 하이 게르마늄 함유 실리콘-게르마늄 스택(1400)으로 채워져 있고, 또한 드레인 영역(520)을 형성하기 위해, 드레인 영역 캐비티(320)도 도 14에 도시된 구배진 하이 게르마늄 함유 실리콘-게르마늄 스택(1400)으로 채워져 있는 도 4의 디바이스를 나타낸다. 확장부들은 에피택셜 소스 확장부(530)와 에피택셜 드레인 확장부(540)를 형성하기 위해, 구배진 하이 게르마늄 함유 실리콘-게르마늄 스택(1400)으로 채워져 있다.
도 5에 도시된 바와 같이, 주입 및 확산 기술을 통해 형성되고, 팁 영역과 채널 영역 사이에 깨끗한 경계를 갖지 않는 종래의 소스 및 드레인 팁 영역과는 달리, 본 발명의 자기 정렬된 에피택셜 소스 및 드레인 확장부는 급격한 경계를 갖는다. 그 결과, 에피택셜 소스/드레인 확장부와 채널 영역 사이의 계면은 깨끗하고 윤곽이 뚜렷하다. 계면의 한쪽은 에피택셜 증착되어 도핑된 실리콘 물질이고, 계면의 다른쪽은 채널 영역을 이루는 기판 물질이다. 에피택셜 소스/드레인 확장부에서의 도펀트는 확장부 내에 실질적으로 또는 완전히 남아 있어, 채널 영역으로 확산하지 않기 때문에, 에피택셜 소스 및 드레인 확장부는, 종래 기술과 비교해서, 채널 영역에 매우 근접한 위치에서 지나치게 도핑된 실리콘 물질을 야기할 수 있다. 당업자에 의해 이해되는 바와 같이, 이는 결국, 채널 영역을 짧게 할 필요 없이, 게이트 길이를 줄일 수 있게 된다.
또한, 채널 영역에 비교적 근접한 위치에서 에피택셜 소스 및 드레인 확장부를 형성하는 것은, 채널 상에 보다 큰 등방 응력(hydrostatic stress)을 부여한다. 이러한 응력은 채널 내에서 변형을 증가시켜서, 채널 내의 이동성과 구동 전류를 증가시킨다. 에피택셜 소스 및 드레인 확장부의 도핑을 증가시킴으로써, 이 응력은 더욱 증폭될 수 있고, 구배진 게르마늄 실리콘 합금의 에피택셜 증착시에 용이하게 제어된다.
당업자에 의해 알 수 있는 바와 같이, 평면형 MOS 디바이스(100)는, 디바이스를 추가로 변경하고 및/또는 필요한 전기적 상호 접속을 제공할 수 있는 다른 MOS 처리, 예를 들어 대체 게이트 산화물 프로세스, 대체 금속 게이트 프로세스, 어닐링, 또는 살리사이드(salicidation) 프로세스를 거칠 수 있다. 예컨대, 소스/드레인 영역 및 소스/드레인 확장부의 에피택셜 증착 후에, 층간 유전체(ILD)가 디바이스에 대해 증착되고 평탄화될 수 있다. ILD은 집적 회로 구조에서의 유전체층에 적용할 수 있는 공지된 물질, 예를 들어 로우-k(low-k) 유전체 물질을 이용해서 형성될 수 있다. 이러한 유전체 물질은, 실리콘 이산화물(SiO2) 및 카본 도핑된 산화물(CDO)과 같은 산화물, 실리콘 질화물, 퍼플루오로사이클로뷰테인 또는 폴리테트라플루오로에틸렌과 같은 유기 폴리머, 플루오로실리케이트 글래스(FSG), 및 실세스퀴옥세인, 실록세인, 또는 오가노실리케이트 글래스와 같은 오가노실리케이트를 포함하지만, 이에 제한되는 것은 아니다. 유전체층은 자신의 유전 상수를 더욱 내리기 위해서 포어(pores) 또는 다른 보이드(voids)를 포함할 수 있다. 도 5는 평면형 MOS 디바이스(100)에 대해 증착되어 있는 ILD층(550)을 도시한다.
본 발명은 구배진 하이 게르마늄 함유 실리콘-게르마늄 영역을 구비하는 평면형 MOS 디바이스의 형성에 제한되는 것은 아니다. 예컨대, 트라이 게이트 디바이스와 같은 3차원 구조를 갖는 디바이스는 상기 프로세스로부터 이점을 가질 수 있다. 도 6~12는 본 발명의 실시예에 따른 비평면형(non-planar) 디바이스에 있어서 변형 유도 소스/드레인 영역의 형성을 나타내는 도면이다.
도 6은 멀티 게이트 본체(620) 상에 멀티 게이트 유전체층(610)을 증착한 후의 멀티 게이트 디바이스(600)를 나타낸다. 도 6에서의 멀티 게이트 트랜지스터는 실질적으로 동일한 길이의 3개의 게이트를 갖는 트라이 게이트 트랜지스터이다. 다른 실시예(도시되지 않음)에서, 멀티 게이트 트랜지스터는 2개의 게이트를 갖는 듀얼 게이트 전계 효과 트랜지스터(FinFET)이다. 멀티 게이트 유전체층(610)은 실리콘 산화물 또는 하이-K 물질 중 적어도 하나를 구비할 수 있다. 하이-K 물질은, 란타넘 산화물, 탄탈럼 산화물, 타이타늄 산화물, 하프늄 산화물, 지르코늄 산화물, 납-지르코네이트-티탄네이트, 바륨-스트론튬-티타네이트, 또는 알루미늄 산화물 중 적어도 하나를 구비한다. 멀티 게이트 유전체층(610)은, 당업자에게 공지된 방법, 예를 들어 플라즈마 여기 화학 기상 증착(PECVD; plasma enhanced chemical vapor deposition), 고밀도 화학 기상 증착(HDCVD; high density chemical vapor deposition), 분자 유기 화학 기상 증착(MOCVD; molecular organic chemical vapor deposition), 원자층 증착(ALD; Atomic Layer Deposition), 또는 스퍼터링을 이용해서 멀티 게이트 본체(620) 상에 등각층(conformal layer)으로서 증착된다. 멀티 게이트 본체(620)는 실리콘, 게르마늄, 또는, 갈륨 비소(GaAs) 및 인듐 안티몬화물(InSb)과 같은 Ⅲ-Ⅴ 반도체를 구비할 수 있다. 멀티 게이트 본체(620)는 에피택셜층, 단결정 기판 또는 실리콘 온 절연체(SOI)층으로부터 형성될 수 있다.
도 7은 멀티 게이트 유전체층(610) 상에 소망하는 두께로 등각 일함수 금속층(710)을 증착한 후의 도 6의 멀티 게이트 디바이스(600)를 도시한다. 일함수 금속은, 전자 볼트(eV)의 단위로 표현되는 금속 고유의 특성인 공지된 일함수를 갖는 금속이다. 일실시예에서, 일함수 금속층(710)은 타이타늄 질화물, 탄탈럼 질화물, 또는 다른 천이 질화물 금속 중 적어도 하나를 구비한다. 일함수 금속층 두께는 멀티 게이트 디바이스에서의 목표 임계 전압(Vt)의 함수이다.
일실시예에서, 일함수 금속층은 방향성 감응 물리 기상 증착(PVD) 프로세스를 이용해서 형성된다. PVD 프로세스를 이용하는 일함수 금속층(710)의 증착은, 표면에서 응집되어 그 표면에 대해 법선 방향으로 성장하는 주상 입자를 구비하는 마이크로 구조를 특징으로 한다. 다른 실시예에서, 주상 입자를 갖는 일함수 금속층(710)은 분자빔 에피택시(MBE), 화학 기상 증착(CVD), 전기 도금, 또는 증발을 포함한 레이어링 기술을 이용해서 형성될 수 있다.
도 8은 일함수 금속층(710)의 일부 상에 하드 마스크(820)와, 폴리실리콘 등의 멀티 게이트 전극(810)을 구비하는 보호 마스크를 형성한 후의 도 7의 멀티 게이트 디바이스(600)를 나타낸다. 보호 마스크는 당업자에게 공지된 일련의 증착, 리소그래피, 에칭 프로세스에 의해 형성된다. 멀티 게이트 전극(810)은 도핑되거나 도핑되지 않을 수 있고, 하드 마스크(820)는 실리콘 질화물 또는 실리콘 산질화물을 구비할 수 있다.
도 9는 일함수 금속층(710)의 노출된 영역을 이방성으로 에칭한 후의 도 8의 멀티 게이트 디바이스(600)를 나타낸다. 일함수 금속층(710)의 노출된 영역은, 베이스 및 산화제를 구비한 웨트 에천트를 활용하는 웨트 에칭 프로세스를 이용해서 에칭된다. 멀티 게이트 전극(810) 또는 하드 마스크(820)를 크게 침식시키지 않고서 일함수 금속층(710)을 에칭하도록 적절한 웨트 에칭 프로세스가 선택적으로 설계된다. 베이스는 수산화 암모늄(NH4OH), 수산화 테트라메틸암모늄(TMAH), 또는 수산화 칼륨(KOH) 중 적어도 하나를 포함할 수 있다. 산화제는 과산화수소(H2O2) 또는 오존(O3) 중 적어도 하나를 포함할 수 있다. 일함수 금속층(710)은, 이방성으로, 즉 표면에 대한 법선 방향으로의 에칭 레이트가 표면에 대한 평행 방향보다 훨씬 더 높게 에칭된다. 게이트 표면에 대한 법선 방향으로의 일함수 금속층(710)의 에칭 레이트가 게이트 표면에 대한 평행 방향으로의 일함수 금속층(710)의 에칭 레이트보다 훨씬 더 빠르기 때문에, 일함수 금속층(710)은 이방성으로 에칭된다. 이에 의해, 일함수 금속층(710)의 보호되는 영역은 손상되지 않고 광범위하게 유지될 수 있게 되는 반면에, 일함수 금속층(710)의 노출되는 영역은 웨트 에천트에 의해 에칭되게 된다.
도 10은 멀티 게이트 전극(810)에 인접하게 게이트 분리 스페이서(1010)를 형성한 후의 도 9의 디바이스를 나타낸다. 게이트 분리 스페이서(1010)는 후속 처리시에 멀티 게이트 전극(810), 일함수 금속층(710), 멀티 게이트 유전체층(610)을 보호한다.
도 11은 멀티 게이트 채널 영역(1110)을 마련하기 위해 멀티 게이트 본체(620)의 부분을 제거한 후의 도 10의 디바이스를 나타낸다. 도 12는 소스 및 드레인 영역(1210)을 에피택셜 형성한 후의 도 11의 디바이스를 나타낸다. 에피택셜하게 형성된 소스/드레인 영역(1210)은 구배진 하이 게르마늄 함유 실리콘-게르마늄 박막 스택을 이용해서 형성된다. 에피택셜 형성된 소스/드레인 영역(1210)은, 이하에 설명되는 도 15의 실시예와 같은 하나의 방법 또는 복수의 방법에 따라, 도 14에 추가로 도시되어 있는 구배진 하이 게르마늄 함유 실리콘-게르마늄 박막 스택(1400)을 이용해서 형성될 수 있다.
도 13은 일실시예에 따라 구배진 하이 게르마늄 함유 실리콘-게르마늄 영역을 구비하는 트랜지스터를 포함해서, 데이터를 처리하기 위한 중앙 처리 장치(CPU)(1310)를 갖는 통신 시스템(1300)을 나타낸다. 통신 시스템(1300)은 CPU(1310), 및 버스(1340)에 연결된 네트워크 인터페이스(1330)를 갖는 마더보드(1320)를 포함할 수 있다. 보다 구체적으로, CPU(1310)는 구배진 하이 게르마늄 함유 실리콘-게르마늄 영역 및/또는 그것의 제조 방법을 구비할 수 있다. 애플리케이션에 따라, 통신 시스템(1300)은, 휘발성 및 비휘발성 메모리, 그래픽 처리기, 디지털 신호 처리기, 암호 처리기, 칩셋, 대용량 저장소(예를 들어, 하드 디스크, CD, DVD 등) 등을 포함하지만 이에 제한되지 않는 다른 구성요소를 추가로 포함할 수 있다. 또한, 하나 이상의 이들 구성요소는 앞에서 설명한 구배진 하이 게르마늄 함유 실리콘-게르마늄 영역 및/또는 그것의 제조 방법을 포함할 수 있다. 다양한 실시예에서, 통신 시스템(1300)은, PDA, 모바일 디바이스, 태블릿 컴퓨팅 디바이스, 랩탑 컴퓨팅 디바이스, 데스크탑 컴퓨팅 디바이스, 셋탑 박스, 엔터테인먼트 컨트롤 유닛, 디지털 카메라, 디지털 비디오 레코더, CD 플레이어, DVD 플레이어, 또는 그 밖의 디지털 디바이스 등일 수 있다.
도 15는 도 14에 도시된 바와 같은 결정 구조를 갖는 구배진 하이 게르마늄 함유 실리콘-게르마늄 스택(1400)을 구비하는 변형 반도체 디바이스를 형성하는데 사용되는 제조 프로세스의 일실시예를 설명하는 흐름도이다. 일실시예에서, 구배진 하이 게르마늄 함유 실리콘-게르마늄 스택(1400)은, Applied Materials Centura 또는 ASM Epilon 툴과 같은 증착 툴을 이용하는 에피택셜 증착 프로세스에 의해, 캐리어 가스로서 10-40slm(standard liters per minute) 사이의 유량의 수소(H2)를 이용해서, 10-150Torr(T) 사이의 압력으로 기판(110) 상에서 성장되는 층구조를 구비한다.
단계 1500에서, 우선적으로 기판(110)의 노출된 영역 상에 바텀층을 선택적으로 형성한다. 일실시예에서, 바텀층은 20-25원자 중량% 사이의 비교적 낮은 게르마늄을 함유한 실리콘-게르마늄 시드층(1410)으로서, 100Å~300Å 사이의 최종 두께를 마련하기 위해 10~30초 사이의 시간 동안에 증착된다. 실리콘 게르마늄 시드층(1410)은 5.0×1019atoms/㎤~1.5×1020atoms/㎤ 사이의 농도의 붕소로 선택적으로 도핑될 수도 있다. 실리콘-게르마늄 시드층(1410)은 700~800℃ 사이의 온도 범위에서 또는 보다 바람직하게는 745~765℃ 사이의 온도 범위에서 증착될 수 있다. 또한, 실리콘-게르마늄 시드층(1410)은, 20~200sccm 사이의 유량의 염화 수소(HCl), 10~100sccm 사이의 유량의 다이클로로실레인(DCS), 1% 저메인(GeH4)과 수소 밸런스의 혼합을 이용한 20~200sccm 사이의 유량의 저메인(GeH4), 10~75sccm 사이의 유량의 다이보레인(B2H6)을 포함하는 프로세스 가스의 혼합을 이용해서 형성될 수 있다.
단계 1510에서, 실리콘-게르마늄 시드층(1410)과 같은 베이스 핵생성층과 하이 게르마늄 함유층(1430) 사이의 매우 완만한 천이를 제공하기 위해, 실리콘-게르마늄 시드층(1410) 상에 천이층(1420)을 선택적으로 형성한다. 일실시예에서, 실리콘-게르마늄 시드층(1410)에 인접하고 및/또는 가까운 천이층(1420)의 하측 부분은, 실리콘-게르마늄 시드층(1410)/천이층(1420)의 계면에서 실리콘-게르마늄 시드층(1410)과 실질적으로 동일한 20~25원자 중량%의 게르마늄을 함유할 수 있다. 또한, 하이 게르마늄 함유층(1430)에 인접하고 및/또는 가까운 천이층(1420)의 상측 부분은, 천이층(1420)/하이 게르마늄 함유층(1430)의 계면에서 하이 게르마늄 함유층(1430)과 실질적으로 동일한 40~55원자 중량%의 게르마늄을 함유할 수 있다. 천이층(1420)의 두께는 150Å과 450Å 사이에 있을 수 있다.
천이층(1420)은, 700~800℃ 또는 보다 바람직하게는 745~765℃의 실리콘-게르마늄 시드층(1410)의 형성 온도와 실질적으로 동일한 시작 온도로부터 프로세스 온도를 내리는 다이내믹 방식으로의 에피택셜 프로세스에 의해 형성될 수 있다. 형성 온도는 시작 온도로부터 종료 온도까지 실질적으로 선형적인 방식으로 내려간다. 일실시예에서, 종료 온도 또는 제 2 온도는 실질적으로 시작 온도 또는 제 1 온도보다 낮은 25~100℃ 사이에 있거나, 또는 더욱 바람직하게는 시작 온도 또는 제 1 온도보다 낮은 대략 45~55℃이다. 일실시예에서, 천이층(1420)은 20~60초 사이의 시간 기간 동안에 증착된다.
천이층(1420)의 형성시에, DCS, HCl, 및 GeH4의 가스 혼합 유량을 높은 유량으로부터 낮은 유량으로 내리는 동안, 천이층(1420)을 에피택셜하게 더 형성한다. 천이층(1420)을 도핑하면, 천이층(1420)을 형성하는 동안에 다이보레인 유량이 증가되어, 천이층(1420)/하이 게르마늄 함유층(1430)의 계면 근처에서 실질적으로 2.0×1020atoms/㎤ 이상의 보론 농도를 제공한다. 본 실시예에서의 천이층(1420)의 형성은, 2% 박막 이완성의 이하이고 건강한 박막 모폴로지를 갖는, 대략 300Å/분의 성장 레이트로 선택적으로 형성되는 구배진 실리콘-게르마늄 박막을 제공한다.
단계 1520에서, 변형 트랜지스터 구조를 제공하기 위해 천이층(1420) 상에 하이 게르마늄 함유층(1430)을 선택적으로 형성한다. 일실시예에서, 천이층(1420)의 마지막 부분을 형성한 후에 프로세스 레시피 파라미터를 남겨둔 채로 하이 게르마늄 함유층(1430)을 형성한다. 본 실시예에서의 하이 게르마늄 함유층(1430)은 300Å~600Å 사이의 두께로 40~55원자 중량% 사이의 게르마늄 함유물이 형성된다. 하이 게르마늄 함유층(1430)은 2.0×1020atoms/㎤~3.0×1020atoms/㎤ 사이의 농도의 붕소로 선택적으로 도핑될 수 있다.
본 발명의 실시예의 상기한 설명은 도시 및 설명을 위해 제시되었다. 개시된 정확한 형태로 본 발명을 제한하거나 철저하게 하는 것은 아니다. 상기 설명 및 이하의 청구항은, 설명을 위해서만 사용되고 한정 사항으로 간주되지 않는 용어, 예를 들어 왼쪽, 오른쪽, 위쪽, 아래쪽, 위에, 아래에, 상부, 하부, 제 1, 제 2, 등을 포함한다. 예컨대, 비교적 수직 위치를 나타내는 용어란, 기판 또는 집적 회로의 디바이스측(또는 활성면)이 그 기판의 "상부" 표면인 상태를 가리키되, 기판의 "상부" 측이 표준 지구 좌표계에서 "하부" 측보다 낮아질 수 있도록, "상부"란 용어의 의미를 그대로 가질 수 있도록 기판이 실제로 임의의 방향으로 존재할 수 있다. 여기서(청구항을 포함) 사용되는 "상의"란 용어는, 특정하게 기재되어 있지 않는 한, 제 2 층 "상의" 제 1 층이 제 2 층과 직접적으로 또는 바로 접촉하는 것을 의미하지 않고, 제 1 층과, 그 제 1 층 상의 제 2 층 사이에 제 3 층 또는 다른 구조가 존재할 수 있다. 여기서 설명되는 디바이스 또는 물품의 실시예는 다수의 위치 및 방향에서 제조되고, 사용되고, 수송될 수 있다.
당업자는 상기 교시 내용에 근거하여 다양한 변경 및 변형이 가능함을 알 수 있다. 당업자는 도면에 도시된 다양한 구성요소에 대한 다양한 등가의 조합 및 대체를 알 것이다. 따라서, 본 발명의 범위는 이러한 상세한 설명에 의해 제한되는 것이나 아니라 이하에 첨부된 청구항에 의해 제한되는 것이다.

Claims (20)

  1. 결정형 화합물 박막을 형성하는 방법으로서,
    로우(low) 게르마늄 함유 시드층을 선택적으로 형성하는 단계와,
    상기 로우 게르마늄 함유 시드층 상에 천이층을 선택적으로 형성하는 단계와,
    상기 천이층 상에 하이(high) 게르마늄 함유층을 선택적으로 형성하는 단계
    를 포함하고,
    상기 천이층은, 프로세스 온도를 제 1 온도로부터 제 2 온도로 내리는 동안 형성되는
    방법.
  2. 제 1 항에 있어서,
    상기 천이층은 다이클로로실레인, 염화 수소, 저메인(germane) 유량을 높은 유량으로부터 낮은 유량으로 내리는 동안 형성되는 방법.
  3. 제 1 항에 있어서,
    상기 천이층은 다이보레인 유량을 낮은 유량으로부터 높은 유량으로 올리는 동안 형성되는 방법.
  4. 제 3 항에 있어서,
    상기 제 1 온도는 700℃와 800℃ 사이에서 선택되는 방법.
  5. 제 4 항에 있어서,
    상기 제 2 온도는 상기 제 1 온도보다 낮은 25℃와 100℃ 사이에 있는 방법.
  6. 제 5 항에 있어서,
    상기 로우 게르마늄 함유 시드층은 5.0×1019atoms/㎤~1.5×1020atoms/㎤ 사이의 농도의 붕소로 도핑되는 방법.
  7. 제 6 항에 있어서,
    상기 하이 게르마늄 함유 시드층은 2.0×1020atoms/㎤~3.0×1020atoms/㎤ 사이의 농도의 붕소로 도핑되는 방법.
  8. 멀티 게이트 본체(multi-gate body) 상에 게이트 스택 및 1쌍의 스페이서를 형성하는 단계와,
    상기 스페이서에 인접한 영역에서 상기 멀티 게이트 본체를 에칭하는 단계와,
    상기 멀티 게이트 본체에 바로 인접한 소스 영역 및 드레인 영역을 형성하기 위해 구배진 하이 게르마늄 함유 실리콘 게르마늄 스택(graded high-germanium content silicon-geramnium stack)을 선택적으로 증착하는 단계
    를 포함하고,
    상기 스페이서는 상기 게이트 스택의 횡방향으로 마주보는 측상에 형성되는
    방법.
  9. 제 8 항에 있어서,
    상기 구배진 하이 게르마늄 함유 실리콘 게르마늄 스택은, 실리콘-게르마늄 시드층, 천이층, 및 하이 게르마늄 함유층으로 이루어지는 방법.
  10. 제 9 항에 있어서,
    상기 천이층은 다이클로로실레인, 염화 수소, 저메인 유량을 높은 유량으로부터 낮은 유량으로 내리는 동안 형성되는 방법.
  11. 제 10 항에 있어서,
    상기 천이층은 다이보레인 유량을 낮은 유량으로부터 높은 유량으로 올리는 동안 형성되는 방법.
  12. 제 11 항에 있어서,
    상기 천이층은 700℃와 800℃ 사이의 범위로부터 선택되는 제 1 온도로부터 시작해서 형성되는 방법.
  13. 제 12 항에 있어서,
    상기 천이층은 상기 제 1 온도보다 낮은 25℃와 100℃ 사이의 범위로부터 선택되는 제 2 온도로 종료되어 형성되는 방법.
  14. 기판 상에 형성된 게이트 스택과,
    상기 게이트 스택의 횡방향으로 마주보는 측상에 형성된 스페이서와,
    상기 기판 및 상기 스페이서에 인접하게 형성된 소스 영역 및 드레인 영역
    을 구비하고,
    상기 소스 영역 및 상기 드레인 영역은 실리콘-게르마늄 시드층, 천이층, 및 하이 게르마늄 함유층을 포함하는
    트랜지스터.
  15. 제 14 항에 있어서,
    상기 천이층의 하측 부분은, 20~25원자 중량% 사이의 게르마늄을 함유하고, 상기 천이층의 상측 부분은 40~55원자 중량% 사이의 게르마늄을 함유하는 트랜지스터.
  16. 제 14 항에 있어서,
    상기 실리콘-게르마늄 시드층은 5.0×1019atoms/㎤~1.5×1020atoms/㎤ 사이의 농로의 붕소로 도핑되는 트랜지스터.
  17. 제 15 항에 있어서,
    상기 하이 게르마늄 함유층은 2.0×1020atoms/㎤~3.0×1020atoms/㎤ 사이의 농도의 붕소로 도핑되는 트랜지스터.
  18. 제 15 항에 있어서,
    상기 천이층의 두께는 150Å~450Å 사이에서 선택되는 트랜지스터.
  19. 제 18 항에 있어서,
    상기 실리콘-게르마늄 시드층의 두께는 100Å~300Å 사이에서 선택되는 트랜지스터.
  20. 제 19 항에 있어서,
    상기 하이 게르마늄 함유층의 두께는 300Å~600Å 사이에서 선택되는 트랜지스터.
KR1020117007592A 2008-12-11 2009-12-02 변형 반도체 디바이스용 구배진 하이 게르마늄 화합물 박막 KR20110050713A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/316,510 2008-12-11
US12/316,510 US7902009B2 (en) 2008-12-11 2008-12-11 Graded high germanium compound films for strained semiconductor devices

Publications (1)

Publication Number Publication Date
KR20110050713A true KR20110050713A (ko) 2011-05-16

Family

ID=42239460

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117007592A KR20110050713A (ko) 2008-12-11 2009-12-02 변형 반도체 디바이스용 구배진 하이 게르마늄 화합물 박막

Country Status (7)

Country Link
US (2) US7902009B2 (ko)
EP (1) EP2356670A4 (ko)
JP (1) JP2012510720A (ko)
KR (1) KR20110050713A (ko)
CN (1) CN102171794B (ko)
TW (1) TWI409861B (ko)
WO (1) WO2010068530A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101438290B1 (ko) * 2012-09-28 2014-09-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자를 위한 소스/드레인 스택 스트레서
US9570359B2 (en) 2013-10-31 2017-02-14 Samsung Electronics Co., Ltd. Substrate structure, complementary metal oxide semiconductor device, and method of manufacturing complementary metal oxide semiconductor device

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
JP2009099702A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置及びその製造方法
US7867891B2 (en) 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8202768B2 (en) * 2009-10-07 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US8828850B2 (en) * 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
CN102465336B (zh) * 2010-11-05 2014-07-09 上海华虹宏力半导体制造有限公司 一种高锗浓度的锗硅外延方法
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US20120161105A1 (en) * 2010-12-22 2012-06-28 Willy Rachmady Uniaxially strained quantum well device and method of making same
US8957454B2 (en) * 2011-03-03 2015-02-17 International Rectifier Corporation III-Nitride semiconductor structures with strain absorbing interlayer transition modules
US8236634B1 (en) * 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8871584B2 (en) 2011-07-27 2014-10-28 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
US8685825B2 (en) * 2011-07-27 2014-04-01 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
CN102931058B (zh) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法,pmos晶体管的形成方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8658505B2 (en) 2011-12-14 2014-02-25 International Business Machines Corporation Embedded stressors for multigate transistor devices
CN104160507B (zh) 2011-12-28 2017-10-24 英特尔公司 在三栅极(finfet)工艺上集成多个栅极电介质晶体管的方法
US9224604B2 (en) * 2012-04-05 2015-12-29 Globalfoundries Inc. Device and method for forming sharp extension region with controllable junction depth and lateral overlap
CN103377897B (zh) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 一种硅锗源/漏结构的形成方法
US8884370B2 (en) * 2012-04-27 2014-11-11 International Business Machines Corporation Narrow body field-effect transistor structures with free-standing extension regions
US8901615B2 (en) 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
KR101909204B1 (ko) 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US10535735B2 (en) * 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103811340B (zh) * 2012-11-09 2017-07-14 中国科学院微电子研究所 半导体器件及其制造方法
CN103839810B (zh) * 2012-11-21 2017-02-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管芯片及其制造方法
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140264493A1 (en) * 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Fabricating the Same
US8927373B2 (en) 2013-03-13 2015-01-06 Samsung Electronics Co, Ltd. Methods of fabricating non-planar transistors including current enhancing structures
US9978650B2 (en) 2013-03-13 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor channel
US9070710B2 (en) * 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US20150021689A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Asymmetrical replacement metal gate field effect transistor
US9153669B2 (en) 2014-01-29 2015-10-06 International Business Machines Corporation Low capacitance finFET gate structure
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9082698B1 (en) * 2014-03-07 2015-07-14 Globalfoundries Inc. Methods to improve FinFet semiconductor device behavior using co-implantation under the channel region
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9159812B1 (en) 2014-03-26 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Fin sidewall removal to enlarge epitaxial source/drain volume
US9570554B2 (en) * 2014-04-04 2017-02-14 International Business Machines Corporation Robust gate spacer for semiconductor devices
US9716172B2 (en) 2014-04-21 2017-07-25 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having multiple active area layers and its formation thereof
CN105280496B (zh) * 2014-06-05 2019-06-11 联华电子股份有限公司 具有鳍状结构的半导体元件及其制作方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160056261A1 (en) * 2014-08-22 2016-02-25 Globalfoundries Inc. Embedded sigma-shaped semiconductor alloys formed in transistors
US9812323B2 (en) 2014-09-08 2017-11-07 Internaitonal Business Machines Corporation Low external resistance channels in III-V semiconductor devices
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9406752B2 (en) * 2014-12-29 2016-08-02 Globalfoundries Inc. FinFET conformal junction and high EPI surface dopant concentration method and device
US9397162B1 (en) * 2014-12-29 2016-07-19 Globalfoundries Inc. FinFET conformal junction and abrupt junction with reduced damage method and device
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9590102B2 (en) * 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9806194B2 (en) * 2015-07-15 2017-10-31 Samsung Electronics Co., Ltd. FinFET with fin having different Ge doped region
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106847755B (zh) * 2015-12-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 改善sram性能的方法
US9425196B1 (en) 2015-12-08 2016-08-23 International Business Machines Corporation Multiple threshold voltage FinFETs
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11018254B2 (en) * 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10141430B1 (en) * 2017-07-27 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures with uniform threshold voltage distribution and method of making the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102481476B1 (ko) 2017-11-17 2022-12-26 삼성전자 주식회사 반도체 소자
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10312350B1 (en) 2017-11-28 2019-06-04 International Business Machines Corporation Nanosheet with changing SiGe percentage for SiGe lateral recess
US20190221483A1 (en) * 2018-01-12 2019-07-18 Globalfoundries Inc. Single work function enablement for silicon nanowire device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) * 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
CN110571259B (zh) * 2018-06-05 2023-04-07 中芯国际集成电路制造(上海)有限公司 Finfet器件及其制备方法
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10741641B2 (en) 2018-06-20 2020-08-11 International Business Machines Corporation Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11316030B2 (en) * 2020-02-19 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
KR100406537B1 (ko) * 2001-12-03 2003-11-20 주식회사 하이닉스반도체 반도체장치의 제조 방법
GB0212616D0 (en) * 2002-05-31 2002-07-10 Univ Warwick Formation of lattice-tuning semiconductor substrates
AU2003274922A1 (en) * 2002-08-23 2004-03-11 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7105894B2 (en) * 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7682952B2 (en) * 2004-11-30 2010-03-23 Massachusetts Institute Of Technology Method for forming low defect density alloy graded layers and structure containing such layers
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7348232B2 (en) * 2005-03-01 2008-03-25 Texas Instruments Incorporated Highly activated carbon selective epitaxial process for CMOS
JP2006324466A (ja) * 2005-05-19 2006-11-30 Sumco Corp 半導体ウェーハの製造方法
WO2007100589A1 (en) * 2006-02-28 2007-09-07 Advanced Micro Devices, Inc. Transistor device having an increased threshold stability without drive current degradation
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
JP4345774B2 (ja) * 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
JP4271210B2 (ja) * 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
JP5076388B2 (ja) * 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7700470B2 (en) 2006-09-22 2010-04-20 Intel Corporation Selective anisotropic wet etching of workfunction metal for semiconductor devices
US7544997B2 (en) 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
US7732285B2 (en) 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101438290B1 (ko) * 2012-09-28 2014-09-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자를 위한 소스/드레인 스택 스트레서
US9570359B2 (en) 2013-10-31 2017-02-14 Samsung Electronics Co., Ltd. Substrate structure, complementary metal oxide semiconductor device, and method of manufacturing complementary metal oxide semiconductor device

Also Published As

Publication number Publication date
JP2012510720A (ja) 2012-05-10
TW201036044A (en) 2010-10-01
US20100148217A1 (en) 2010-06-17
EP2356670A2 (en) 2011-08-17
US20120032265A1 (en) 2012-02-09
WO2010068530A2 (en) 2010-06-17
US7902009B2 (en) 2011-03-08
CN102171794A (zh) 2011-08-31
CN102171794B (zh) 2014-05-07
TWI409861B (zh) 2013-09-21
WO2010068530A3 (en) 2010-08-12
EP2356670A4 (en) 2015-06-03

Similar Documents

Publication Publication Date Title
KR20110050713A (ko) 변형 반도체 디바이스용 구배진 하이 게르마늄 화합물 박막
US9647118B2 (en) Device having EPI film in substrate trench
JP6284502B2 (ja) マルチゲートトランジスタ及びその製造方法
JP4585510B2 (ja) シャロートレンチアイソレーションプロセス
US7494884B2 (en) SiGe selective growth without a hard mask
US8084329B2 (en) Transistor devices and methods of making
KR20090125149A (ko) 자기 정렬 에피택셜 소스 및 드레인 확장부를 갖는 반도체 장치 제조 방법
SG191250A1 (en) Uniaxially strained quantum well device and method of making same
KR20130028941A (ko) 매립된 소스/드레인 실리사이드를 위한 델타 단분자층 도펀트 에피택시
WO2005122272A1 (ja) 歪みシリコンチャネル層を有するmis型電界効果トランジスタ
US10763328B2 (en) Epitaxial semiconductor material grown with enhanced local isotropy
US20240186391A1 (en) Gate-all-around device without dielectric inner spacer
TW201248735A (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
AMND Amendment