KR20080015883A - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR20080015883A
KR20080015883A KR1020077030319A KR20077030319A KR20080015883A KR 20080015883 A KR20080015883 A KR 20080015883A KR 1020077030319 A KR1020077030319 A KR 1020077030319A KR 20077030319 A KR20077030319 A KR 20077030319A KR 20080015883 A KR20080015883 A KR 20080015883A
Authority
KR
South Korea
Prior art keywords
processing
space
gas
substrate
processing space
Prior art date
Application number
KR1020077030319A
Other languages
English (en)
Other versions
KR100974134B1 (ko
Inventor
가즈유키 오쿠다
노리카즈 미즈노
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20080015883A publication Critical patent/KR20080015883A/ko
Application granted granted Critical
Publication of KR100974134B1 publication Critical patent/KR100974134B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S427/00Coating processes
    • Y10S427/103Diamond-like carbon coating, i.e. DLC
    • Y10S427/106Utilizing plasma, e.g. corona, glow discharge, cold plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판을 처리하는 공간을 제공하는 처리 공간과, 상기 처리 공간을 가열하는 가열 부재와, 상기 처리 공간에 적어도 제1 및 제2 처리 가스를 공급하는 가스 공급 부재와, 상기 처리 공간의 분위기를 배출하는 배출 부재와, 적어도 상기 가스 공급 부재와 배출 부재를 제어하는 제어 부재로서, 상기 기판에 원하는 막을 생성할 때는, 상기 처리 공간 내에서 상기 제1 및 제2 처리 가스를 혼합시키지 않도록, 각각의 처리 가스의 공급과 배출을 교대로 복수회 반복하도록 제어하고, 상기 처리 공간의 내벽 표면에 원하는 막을 코팅할 때는, 상기 제1 및 제2 처리 가스를 모두 상기 처리 공간에 공급하도록 제어하는 상기 제어 부재를 구비한 기판 처리 장치가 개시되어 있다.

Description

기판 처리 장치{SUBSTRATE TREATING APPARATUS}
본 발명은 기판 처리 장치에 관한 것으로서, 특히 Si 디바이스 등의 반도체 디바이스에 있어서, 그 디바이스를 제조할 때 이용되는 성막 장치에 관한 것이다.
종래의 ALD(Atomic Layer Deposition)법에 의해 성막을 행하는 반도체 디바이스 제조 장치에서는, NF3클리닝 등의 메인트넌스 후의 코팅은, ALD법 자체에 의한 성막에 의해 행해지고 있었다(특허 문헌 1 참조).
[특허 문헌 1:국제공개 제WO2004/044970호 팜플렛]
또, 웨이퍼 상에의 성막은, NH3플라즈마 발생시 300W의 RF파와 파워를 표준으로 하였다.
그러나, 이 상태에서는, Na에 의한 웨이퍼 오염이 1×1011atoms/㎠를 넘는 큰 값이 된다는 문제가 있었다.
본 발명의 주된 목적은, ALD법에 의해 성막을 행하는 기판 처리 장치로서, Na에 의한 기판의 오염을 저감할 수 있는 기판 처리 장치를 제공하는 것에 있다.
본 발명의 일 형태에 의하면,
석영으로 이루어진 반응관에 의해 구획되고, 기판을 처리하는 공간을 제공하는 처리 공간과,
상기 반응관의 내벽에 설치되고, 상기 처리 공간과 구획되는 버퍼 공간과,
상기 버퍼 공간의 안쪽에 설치되는 전극으로서, 상기 제1 처리 가스를 플라즈마 여기할 때 사용되고, 고주파 전압이 인가되는 상기 전극과,
상기 처리 공간을 가열하는 가열 부재와,
상기 처리 공간에 적어도 제1 및 제2 처리 가스를 공급하는 가스 공급 부재와,
상기 처리 공간의 분위기를 배출하는 배출 부재와,
적어도 상기 전극, 가열 부재, 가스 공급 부재 및 배출 부재를 제어하는 제어 부재로서, 상기 기판에 원하는 막을 생성할 때는, 플라즈마를 생성시키고 또한 상기 처리 공간을 제1 온도로 가열함과 함께, 상기 처리 공간 내에서 상기 제1 및 제2 처리 가스를 혼합시키지 않도록, 각각의 처리 가스의 공급과 배출을 교대로 복수회 반복하도록 제어하고, 상기 처리 공간의 내벽 표면에 원하는 막을 코팅할 때는, 플라즈마는 생성시키지 않고 상기 처리 공간을 상기 제1 온도보다 높은 제2 온도로 가열함과 함께, 상기 제1 및 제2 처리 가스를 모두 상기 처리 공간에 공급하도록 제어하는 상기 제어 부재를 구비하고,
상기 제1 처리 가스는, 상기 버퍼 공간을 통해 상기 처리 공간에 공급되고,
상기 코팅막은, 상기 버퍼 공간의 내벽 표면에도 생성되는 기판 처리 장치가 제공된다.
본 발명의 다른 형태에 의하면,
기판을 처리하는 공간을 제공하는 처리 공간과,
상기 처리 공간을 가열하는 가열 부재와,
상기 처리 공간에 적어도 제1 및 제2 처리 가스를 공급하는 가스 공급 부재와,
상기 처리 공간의 분위기를 배출하는 배출 부재와,
적어도 상기 가스 공급 부재와 배출 부재를 제어하는 제어 부재로서, 상기 기판에 원하는 막을 생성할 때는, 상기 처리 공간 내에서 상기 제1 및 제2 처리 가스를 혼합시키지 않도록, 각각의 처리 가스의 공급과 배출을 교대로 복수회 반복하도록 제어하고, 상기 처리 공간의 내벽 표면에 원하는 막을 코팅 할 때는, 상기 제1 및 제2 처리 가스를 모두 상기 처리 공간에 공급하도록 제어하는 상기 제어 부재를 구비하는 기판 처리 장치가 제공된다.
본 발명의 다른 형태에 의하면,
기판을 처리하는 공간을 제공하는 처리 공간과,
상기 처리 공간을 가열하는 가열부와,
상기 처리 공간에 제1 처리 가스를 공급하는 제1 가스 공급부와,
상기 처리 공간에 제2 처리 가스를 공급하는 제2 가스 공급부와,
상기 처리 공간의 분위기를 배출하는 배출부와,
적어도 상기 가열부, 제1 및 제2 가스 공급부 및 배출부를 제어하는 제어부를 구비하고,
상기 제어부는,
상기 기판이 상기 처리 공간에 수용되어 있을 때는, 상기 제1 처리 가스와 상기 제2 처리 가스가 상기 처리 공간에 모두 공급되지 않도록, 상기 제1 가스 공급부와 상기 제2 가스 공급부 중 어느 한쪽의 가스 공급부로부터 상기 제1 처리 가스 또는 상기 제2 처리 가스를 공급하고 있는 동안은, 다른 쪽의 가스 공급부로부터 불활성 가스를 공급하고,
상기 기판이 상기 처리 공간에 수용되어 있지 않은 때는, 상기 제1 가스 공급부로부터 상기 제1 처리 가스를, 상기 제2 가스 공급부로부터 상기 제2 처리 가스를 상기 처리 공간에 모두 공급하도록 제어하는 기판 처리 장치가 제공된다.
도 1은 본 발명의 바람직한 실시예에 관한 종형의 기판 처리로의 개략 구성도이며, 처리로 부분을 종단면에서 나타낸 도면.
도 2는 본 발명의 바람직한 실시예에 관한 종형의 기판 처리로의 개략 구성도이며, 처리로 부분을 횡단면으로 나타낸 도면.
도 3은 ALD법에 의한 코팅과 LP-CVD법에 의한 코팅의 효과를 설명하기 위한 도면.
도 4는 ALD법에 의한 코팅과 LP-CVD법에 의한 코팅의 효과를 설명하기 위한 도면.
도 5는 LP-CVD법에 의한 코팅의 Na확산 방지 능력을 설명하기 위한 도면.
도 6의 a는 본 발명의 바람직한 실시예에 관한 종형의 기판 처리로의 석영 구조를 설명하기 위한 개략 횡단면도.
도 6의 b는 LP-CVD법에 의한 코팅 상태를 설명하기 위한 개략 횡단면도.
도 6의 c는 ALD법에 의한 코팅 상태를 설명하기 위한 개략 횡단면도.
도 7은 본 발명의 바람직한 실시예에 적합하게 사용되는 기판 처리 장치를 설명하기 위한 개략 경사 투시도.
다음에, 본 발명의 바람직한 실시예를 설명한다.
우선, 본 발명의 바람직한 실시예에서 적합하게 이용되는 기판 처리로를 설명한다.
도 1은, 본 실시예에서 적합하게 이용되는 종형의 기판 처리로의 개략 구성도이며, 처리로(202) 부분을 종단면으로 나타내고, 도 2는 본 실시예에서 적합하게 이용되는 종형의 기판 처리로의 개략 구성도이며, 처리로(202) 부분을 횡단면으로 나타낸다.
본 실시예에서 이용되는 기판 처리 장치는 제어부인 콘트롤러(280)를 구비하고, 콘트롤러(280)에 의해 기판 처리 장치 및 처리로를 구성하는 각부의 동작 등이 제어된다.
가열 장치(가열 수단)인 히터(207)의 안쪽에, 기판인 웨이퍼(200)를 처리하는 반응 용기로서 반응관(203)이 설치되고, 이 반응관(203)의 하단 개구는 덮개인 씰 캡(219)에 의해 기밀 부재인 O링(220)을 통해 기밀로 폐색되고, 적어도, 반응관(203), 및 씰 캡(219)에 의해 처리실(201)을 형성하고 있다. 씰 캡(219)에는 보 트 지지대(218)를 통해 기판 유지 부재(기판 유지 수단)인 보트(217)가 세워지고, 보트 지지대(218)는 보트를 유지하는 유지체로 되어 있다. 그리고, 보트(217)는 처리실(201)에 삽입된다. 보트(217)에는 배치처리되는 복수의 웨이퍼(200)가 수평 자세로 관축방향으로 다단으로 적재된다. 히터(207)는 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열한다.
처리실(201)에는 복수 종류, 여기에서는 2종류의 가스를 공급하는 공급 경로로서의 2개의 가스 공급관(232a, 232b)이 설치된다. 여기에서는 제1 가스 공급관(232a)으로부터는 유량 제어장치(유량 제어 수단)인 제1 매스 플로우 콘트롤러(241a) 및 개폐 밸브인 제1 밸브(243a)를 통해, 또한 후술하는 반응관(203) 내에 형성된 버퍼실(237)을 통해 처리실(201)에 반응 가스가 공급된다. 제1 가스 공급관(232a)의 제1 밸브(243a)보다 하류측에는 가스 공급관(300)이 연결되어 있고, 가스 공급관(300)에는 유량 제어장치(유량 제어 수단)인 매스 플로우 콘트롤러(310) 및 개폐 밸브인 밸브(320)가 설치되어 있다. 가스 공급관(300)으로부터는 매스 플로우 콘트롤러(310) 및 밸브(320)을 통해, 또한 후술하는 반응관(203) 내에 형성된 버퍼실(237)을 통해 처리실(201)에 N2 등의 불활성 가스가 공급된다.
이에 대해, 제2 가스 공급관(232b)으로부터는 유량 제어장치(유량 제어 수단)인 제2 매스 플로우 콘트롤러(241b), 개폐 밸브인 제2 밸브(243b), 가스통(247), 및 개폐 밸브인 제3 밸브(243c)를 통해, 또한 후술하는 가스 공급부(249)를 통해 처리실(201)에 반응 가스가 공급된다. 제2 가스 공급관(232b)의 제3 밸 브(243c)보다 하류측에는 가스 공급관(400)이 연결되어 있고, 가스 공급관(400)에는 유량 제어장치(유량 제어 수단)인 매스 플로우 콘트롤러(410) 및 개폐 밸브인 밸브(420)가 설치되어 있다. 가스 공급관(400)으로부터는 매스 플로우 콘트롤러(410) 및 밸브(420)를 통해, 또한 후술하는 가스 공급부(249)를 통해 처리실(201)에 N2 등의 불활성 가스가 공급된다.
처리실(201)은 가스를 배기하는 가스 배기관(231)에 의해 제4 밸브(243d)를 통해 배기 장치(배기 수단)인 진공 펌프(246)에 접속되고, 진공 배기되도록 되어 있다. 또, 이 제4 밸브(243d)는 밸브를 개폐해 처리실(201)의 진공 배기·진공 배기 정지를 할 수 있고, 또한 밸브 개구도를 조절해 압력 조정 가능하게 되어 있는 개폐 밸브이다.
처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)와의 사이에 있어서의 원호상의 공간에는, 반응관(203)의 하부로부터 상부의 내벽에 웨이퍼(200)의 적재 방향을 따라서, 가스 분산 공간인 버퍼실(237)이 설치되어 있고, 그 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부에는 가스를 공급하는 공급 구멍인 제1 가스 공급 구멍(248a)이 설치되어 있다. 이 제1 가스 공급 구멍(248a)은 반응관(203)의 중심을 향해 개구하고 있다. 이 제1 가스 공급 구멍(248a)은, 하부로부터 상부에 걸쳐서 각각 동일한 개구 면적을 가지며, 또한 같은 개구 피치로 설치되어 있다.
그리고 버퍼실(237)의 제1 가스 공급 구멍(248a)이 설치된 단부와 반대측의 단부에는, 노즐(233)이 역시 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적재 방향을 따라서 배설되어 있다. 그리고 노즐(233)에는 복수의 가스를 공급하는 공급 구멍인 제2 가스 공급 구멍(248b)이 설치되어 있다. 이 제2 가스 공급 구멍(248b)의 개구 면적은, 버퍼실(237)과 처리실(201)의 차압이 작은 경우에는, 가스의 상류측으로부터 하류측까지 동일한 개구 면적으로 동일한 개구 피치로 하면 되지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향해 개구 면적을 크게 하거나, 개구 피치를 작게 하면 된다.
본 실시예에 있어서는, 제2 가스 공급 구멍(248b)의 개구 면적을 상류측으로부터 하류측에 걸쳐 서서히 크게 하고 있다. 이와 같이 구성하는 것으로, 제2 각 가스 공급 구멍(248b)으로부터 가스의 유속의 차이는 있지만, 유량은 거의 동량인 가스를 버퍼실(237)에 분출시키고 있다.
그리고, 버퍼실(237) 내에 있어서, 각 제2 가스 공급 구멍(248b)으로부터 분출한 가스의 입자 속도차이가 완화된 후, 제1 가스 공급 구멍(248a)으로부터 처리실(201)로 분출시키고 있다. 따라서, 각 제2 가스 공급 구멍(248b)으로부터 분출한 가스는, 각 제1 가스 공급 구멍(248a)으로부터 분출할 때, 균일한 유량과 유속을 갖는 가스로 할 수 있다.
또한, 버퍼실(237)에, 가늘고 긴 구조를 갖는 제1 전극인 제1 막대 모양 전극(269) 및 제2 전극인 제2 막대 모양 전극(270)이 상부로부터 하부에 걸쳐서 전극을 보호하는 보호관인 전극 보호관(275)에 보호되어 배설되고, 이 제1 막대 모양 전극(269) 또는 제2 막대 모양 전극(270) 중 어느 한쪽은 정합기(272)를 통해 고주 파 전원(273)에 접속되고, 다른 쪽은 기준 전위인 지구에 접속되어 있다. 이 결과, 제1 막대 모양 전극(269) 및 제2 막대 모양 전극(270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다
이 전극 보호관(275)은, 제1 막대 모양 전극(269) 및 제2 막대 모양 전극(270)의 각각을 버퍼실(237)의 분위기와 격리된 상태로 버퍼실(237)에 삽입할 수 있는 구조로 되어 있다. 여기에서, 전극 보호관(275)의 내부는 바깥 공기(대기)와 동일 분위기이면, 전극 보호관(275)에 각각 삽입된 제1 막대 모양 전극(269) 및 제2 막대 모양 전극(270)은 히터(207)의 가열로 산화되어 버린다. 그래서, 전극 보호관(275)의 내부는 질소 등의 불활성 가스를 충전 혹은 퍼지하고, 산소 농도를 충분히 낮게 억제해 제1 막대 모양 전극(269) 또는 제2 막대 모양 전극(270)의 산화를 방지하기 위한 불활성 가스 퍼지 기구가 설치된다.
또한, 제1 가스 공급 구멍(248a)의 위치로부터, 반응관(203)의 내주를 120°정도 회전시킨 내벽에, 가스 공급부(249)가 설치되어 있다. 이 가스 공급부(249)는 ALD법에 의한 성막에 있어서 웨이퍼(200)에, 복수 종류의 가스를 1종류씩 교대로 공급할 때에, 버퍼실(237)과 가스 공급종을 분담하는 공급부이다.
이 가스 공급부(249)도 버퍼실(237)과 같이 웨이퍼와 인접하는 위치에 동일 피치로 가스를 공급하는 공급 구멍인 제3 가스 공급 구멍(248c)을 가지며, 하부에서는 제2 가스 공급관(232b)이 접속되어 있다.
제3 가스 공급 구멍(248c)의 개구 면적은 가스 공급부(249) 내와 처리실(201) 내의 차압이 작은 경우에는, 가스의 상류측으로부터 하류측까지 동일한 개 구 면적으로 동일한 개구 피치로 하면 되지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향해 개구 면적을 크게 하거나 개구 피치를 작게 하면 된다.
본 실시예에 있어서는, 제3 가스 공급 구멍(248a)의 개구 면적을 상류측으로부터 하류측에 걸쳐 서서히 크게 하고 있다.
반응관(203) 내의 중앙부에는 복수장의 웨이퍼(200)를 다단으로 동일 간격으로 탑재하는 보트(217)가 설치되어 있고, 이 보트(217)는 도중 생략된 보트 엘리베이터 기구에 의해 반응관(203)에 출입할 수 있도록 되어 있다. 또 처리의 균일성을 향상하기 위해 보트(217)를 회전하기 위한 회전 장치(회전 수단)인 보트 회전 기구(267)가 설치되어 있어, 보트 회전 기구(267)를 회전함으로써, 석영 캡(218)에 유지된 보트(217)를 회전하도록 되어 있다.
제어 수단인 콘트롤러(280)는, 제1, 제2 매스 플로우 콘트롤러(241a, 241b), 매스 플로우 콘트롤러(310, 410), 제1~ 제4 밸브(243a, 243b, 243c, 243d), 밸브(320, 420), 히터(207), 진공 펌프(246), 보트 회전 기구(267), 도중 생략된 보트 승강 기구, 고주파 전원(273), 정합기(272)에 접속되어 있고, 제1, 제2 매스 플로우 콘트롤러(241a, 241b)나 매스 플로우 콘트롤러(310, 410)의 유량 조정, 제1~ 제3 밸브(243a, 243b, 243c)나 밸브(320, 420)의 개폐 동작, 제4 밸브(243d)의 개폐 및 압력 조정 동작, 히터(207) 온도 조절, 진공 펌프(246)의 기동·정지, 보트 회전 기구(267)의 회전 속도 조절, 보트 승강 기구의 승강 동작 제어, 고주파 전원(273)의 전력 공급 제어, 정합기(272)에 의한 인피던스 제어가 행해진다.
다음에 ALD법에 의한 성막예에 대해서, 반도체 디바이스의 제조 공정의 하나 인, DCS 및 NH3 가스를 이용해 SiN막을 성막하는 예로 설명한다.
CVD(Chemical Vapor Deposition)법 중의 하나인 ALD(Atomic Layer Deposi tion)법은, 어느 성막 조건(온도, 시간 등) 아래에서, 성막에 이용하는 2종류(또는 그 이상)의 원료가 되는 처리 가스를 1종류씩 교대로 기판상에 공급하고, 1원자층 단위로 흡착시키고, 표면 반응을 이용해 성막을 행하는 수법이다.
이용하는 화학 반응은, 예를 들면 SiN(질화 규소)막 형성의 경우 ALD법에서는 DCS(SiH2Cl2, 디크롤실란)와 NH3(암모니아)를 이용해 300~600℃의 저온으로 고품질의 성막이 가능하다. 또, 가스 공급은, 복수 종류의 반응성 가스를 1종류씩 교대로 공급한다. 그리고, 막두께 제어는, 반응성 가스 공급의 사이클수로 제어한다.(예를 들면, 성막 속도가 1Å/사이클로 하면, 20Å의 막을 형성하는 경우, 처리를 20사이클 행하다.)
우선 성막하고자 하는 웨이퍼(200)를 보트(217)에 장전하고, 처리실(201)에 반입한다. 반입 후, 다음의 3개의 스텝을 순차 실행한다.
(스텝 1)
스텝 1에서는, 플라즈마 여기가 필요한 NH3가스와, 플라즈마 여기가 필요가 없는 DCS가스를 병행하여 흐르게 한다. 우선 제1 가스 공급관(232a)에 설치한 제1 밸브(243a), 및 가스 배기관(231)에 설치한 제4 밸브(243d)를 모두 열고, 제1 가스 공급관(232a)으로부터 제1 매스 플로우 콘트롤러(243a)에 의해 유량 조정된 NH3가스를 노즐(233)의 제2 가스 공급 구멍(248b)으로부터 버퍼실(237)로 분출하고, 제1 막대 모양 전극(269) 및 제2 막대 모양 전극(270) 간에 고주파 전원(273)으로부터 정합기(272)를 통해 고주파 전력을 인가하여 NH3를 플라즈마 여기하고, 활성종으로서 처리실(201)에 공급하면서 가스 배기관(231)으로부터 배기한다. NH3가스를 플라즈마 여기함으로써 활성종으로서 흐르게 할 때는, 제4 밸브(243d)를 적정하게 조정해 처리실(201) 내 압력을 10~100Pa의 범위 내의 원하는 압력으로 유지한다. 제1 매스 플로우 콘트롤러(241a)로 제어하는 NH3의 공급 유량은 1~10slm의 범위 내의 원하는 유량으로 공급된다. NH3를 플라즈마 여기함으로써 얻어진 활성종에 웨이퍼(200)를 노출시키는 시간은 2~120초 사이의 범위의 원하는 시간이다. 이 때의 히터(207) 온도는 웨이퍼가 300~600℃의 범위 내의 원하는 온도가 되도록 설정해 둔다. NH3는 반응 온도가 높기 때문에, 상기 웨이퍼 온도에서는 반응하지 않으므로, 플라즈마 여기함으로써 활성종으로서로부터 흐르도록 하고 있고, 이 때문에 웨이퍼 온도는 설정한 낮은 온도 범위인 채로 행할 수 있다.
이 NH3를 플라즈마 여기함으로써 활성종으로서 공급하고 있을 때, 제2 가스공급관(232b)의 상류측의 제2 밸브(243b)를 열고, 하류측의 제3 밸브(243c)를 닫고, DCS도 흐르도록 한다. 이로 인해 제2, 제3 밸브(243b, 243c) 사이에 설치한 가스통(247)에 DCS를 모은다. 이 때, 처리실(201) 내에 흐르고 있는 가스는 NH3를 플라즈마 여기함으로써 얻어진 활성종이며, DCS는 존재하지 않는다. 따라서, NH3는 기상 반응을 일으키는 일 없이, 플라즈마에 의해 여기되어 활성종이 된 NH3는 웨이퍼(200) 상의 하지막 등의 표면 부분과 표면 반응(화학 흡착)한다. 또, 스텝 1에서는, NH3를 플라즈마 여기함으로써 활성종으로서 공급하고 있는 동안은, 밸브(420)를 열어 N2 등의 불활성 가스를 가스 공급관(400)으로부터 처리실(201)에 공급하고, NH3가 가스 공급부(249)에 들어가지 않도록 하고 있다.
(스텝 2)
스텝 2에서는, 제1 가스 공급관(232a)의 제1 밸브(243a)와 가스 공급관(400)의 밸브(420)를 닫고, NH3와 불활성 가스와의 공급을 멈추지만, 계속해서 가스통(247)에 공급을 계속한다. 가스통(247)에 소정압, 소정량의 DCS가 모이면 상류측의 제2 밸브(243b)도 닫고, 가스통(247)에 DCS를 가둬 둔다. 또, 가스 배기관(231)의 제4 밸브(243d)는 연 채로 하고, 진공 펌프(246)에 의해, 처리실(201)을 20Pa 이하로 배기하고, 잔류 NH3를 처리실(201)로부터 배제한다. 또, 이 때에는 밸브(420), 및 밸브(320)을 개폐하여, N2 등의 불활성 가스를 가스 공급관(400), 및 가스 공급관(300)으로부터 처리실(201)에 공급, 정지를 반복하면, 또한 잔류 NH3를 배제하는 효과가 높아진다. 가스통(247) 내에는, 압력이 20000Pa 이상이 되도록 DCS를 모은다. 또, 가스통(247)과 처리실(201)과의 사이의 컨덕턴스가 1.5×10-3㎥/s 이상이 되도록 장치를 구성한다. 또, 반응관(203)의 용적과 이것에 대한 필요 한 가스통(247)의 용적과의 비로서 생각하면, 반응관(203) 용적 1001(리터)의 경우에 있어서는, 100~300cc인 것이 바람직하고, 용적비로서는 가스통(247)은 반응실용적의 1/1000~3/1000배로 하는 것이 바람직하다.
(스텝 3)
스텝 3에서는, 처리실(201)의 배기가 끝나면 가스 배기관(231)의 제4 밸브(243d)를 닫고 배기를 멈춘다. 제2 가스 공급관(232b)의 하류측의 제3 밸브(243c)를 연다. 이로 인해 가스통(247)에 모아진 DCS가 처리실(201)에 한번에 공급된다. 이 때 가스 배기관(231)의 제4 밸브(243d)가 닫혀져 있으므로, 처리실(201) 내의 압력은 급격하게 상승해 약 931Pa(7Torr)까지 승압된다. DCS를 공급하기 위한 시간은 2~4초 설정하고, 그 후 상승한 압력 분위기 중에 노출시키는 시간을 2~4초로 설정하고, 합계 6초로 했다. 이 때의 웨이퍼 온도는 NH3의 공급시와 같이, 300~600℃의 범위 내의 원하는 온도로 유지된다. DCS의 공급에 의해, 웨이퍼(200)의 표면에 화학 흡착한 NH3와 DCS가 표면 반응(화학 흡착)하여, 웨이퍼(200) 상에 SiN막이 성막된다. 스텝 3에서는, DCS를 처리실(201)에 공급하고 있는 동안은, 밸브(320)를 열어 N2 등의 불활성 가스를 가스 공급관(300)으로부터 처리실(201)에 공급하고, DCS가 버퍼실(237)에 들어가지 않도록 하고 있다. 성막 후, 제3 밸브(243c)와 밸브(320)을 닫고, 제4 밸브(243d)를 열어 처리실(201)을 진공 배기하고, 잔류하는 DCS의 성막에 기여한 후의 가스를 배제한다. 또, 이 때에는 밸브(420), 및 밸브(320)를 개폐하여, N2 등의 불활성 가스를 가스 공급관(400), 및, 가스 공급관(300)으로부터 처리실(201)에 공급, 정지를 반복하면, 또한 잔류하는 DCS의 성막에 기여한 후의 가스를 처리실(201)로부터 배제하는 효과가 높아진다. 또 제2 밸브(243b)를 열어 가스통(247)에의 DCS의 공급을 개시한다.
상기 스텝 1~3을 1사이클로 하고, 이 사이클을 복수회 반복함으로써 웨이퍼 상에 소정 막두께의 SiN막을 성막한다.
ALD 장치에서는, 가스는 웨이퍼(200)의 표면 부분에 화학 흡착한다. 이 가스의 흡착량은, 가스의 압력, 및 가스의 폭로 시간에 비례한다. 따라서, 희망하는 일정량의 가스를, 단시간에 흡착시키기 위해서는, 가스의 압력을 단시간에 크게 할 필요가 있다. 이 점에서, 본 실시예에서는, 제4 밸브(243d)를 닫은데다가, 가스통(247) 내에 모은 DCS를 순간적으로 공급하고 있으므로, 처리실(201) 내의 DCS의 압력을 급격하게 올릴 수 있고, 희망하는 일정량의 가스를 순간적으로 흡착시킬 수 있다.
또, 본 실시예에서는, 가스통(247)에 DCS를 모으고 있는 동안에, ALD법에서 필요한 스텝인 NH3 가스를 플라즈마 여기함으로써 활성종으로서 공급, 및 처리실(201)의 배기를 하고 있으므로, DCS를 모으기 위한 특별한 스텝을 필요로 하지 않는다. 또, 처리실(201) 내를 배기해 NH3 가스를 제거하고 있기 때문에 DCS를 흐르게 하므로, 양자는 웨이퍼(200)를 향하는 도중에 반응하지 않는다. 공급된 DCS는, 웨이퍼(200)에 흡착하고 있는 NH3만과 유효하게 반응시킬 수 있다.
본 실시예에서는, 도 1, 도 2에 나타낸 기판 처리 장치에서 사용하고 있는 반응관(203), 버퍼실(237), 가스 공급부(249) 등은 석영제이다.
ALD법에 의해 웨이퍼(200)에의 SiN(질화 규소)막의 형성은, 이상과 같이 하여 행하다. 한편, ALD법에 의해 반응관(203) 등의 석영 부재에 코팅을 행하는 경우에는, 보트(217)에 웨이퍼(200)를 탑재하지 않는 상태로 행하지만, 가스의 공급 등의 점은, 웨이퍼(200)에의 SiN(질화 규소)막의 형성의 경우와 같다.
또, CVD법에 의해 반응관(203) 등의 석영 부재에 코팅을 행하는 경우에는, NH3를 버퍼실(237)로부터, DCS가스를 가스 공급부(249)로부터 동시에 공급하여 행한다(도 6의 b 참조). 보트(217)에는 웨이퍼(200)를 탑재하지 않는 상태로 행하다.
상기의 코팅은, 반응관(203) 등 석영 부재를 교환했을 때나, NF3 등의 가스에 의해 클리닝 처리를 실시한 후에 행하다. 클리닝을 행하는 경우에는, 예를 들면 NF3 등의 클리닝 가스를 가스 공급부(249)로부터, N2 등의 불활성 가스를 버퍼실(237)로부터 동시에 공급한다. 불활성 가스를 버퍼실(237)로부터 공급하는 것은, 클리닝 가스가 버퍼실(237)에 유입하는 것을 방지하기 위해서다. 그리고 클리닝 처리를 실행한 후는, 반응관(203) 등의 석영 부재에 상기의 ALD법 또는 CVD법, 혹은 양자를 조합하여 코팅을 행하고, 그 후에 상기의 ALD법에 의한 SiN막의 형성을 행하다.
본 실시예에서는, ALD법에 의해 SiN막의 성막을 행하는 기판 처리 장치에 있어서, Na에 의한 웨이퍼의 오염을 저감하기 위해, 다음과 같은 사전 처리 및 성막 조건으로 변경을 행했다.
(1)LP-CVD(Low Pressure Chemical Vapor Deposition)법에 의해 반응관(203)등의 석영 부재 표면을 코팅한다.
(2)ALD 성막시에 있어서의 NH3 플라즈마를 발생시, RFpower를 100 이하, 보다 바람직하게는 50W 이하로 작게 한다.
이것에 의해, Na에 의한 wafer의 오염이 5×1010atoms/㎠ 전후, 혹은 그것을 밑도는 값으로 할 수 있었다.
또한, LP-CVD법에 따른 코팅의 일례를 들면 다음과 같다.
코팅 온도는 600~760℃이며, 압력은 10~100Pa이며, NH3 유량은 500~3,000sccm, DCS유량 50~300sccm, 시간 1~3시간으로서, 각각의 범위 내의 원하는 값으로 설정, 유지된다.
또, ALD 성막의 일례를 들면 다음과 같다.
성막 온도는 300~600℃이다. NH3 공급 스텝에서는, 압력 10~200Pa, NH3 유량 1,000~10,000sccm, 시간 2~120초로서, DCS 공급 스텝에서는, 압력 700~3500Pa, DCS 유량 500~2,000sccm, 시간 1~20초이며, 각각의 범위 내의 원하는 값으로 설정, 유지된다.
Na오염은 장기간, 장치, 석영 부재를 사용해도 마르는 일은 없었다. 그 때문에 Na오염의 요인은, 반응관(203) 등의 석영 부재의 외부에 있다고 생각되었다. 그래서, LP-CVD법에 의한 표면 코팅의 효과를 확인했다. 그 결과, LPCVD법에 의한 코팅으로 약간의 Na오염 저감을 기대할 수 있는 데이터를 얻을 수 있었다. 한편, ALD법에 의한 코팅에서는, 저감 효과는 작다(도 3 참조).
그 후, 새로운 개선 효과를 얻기 위해서, 다양한 실험 검증을 행했다. 그 중에서 ALD 성막시의 RFpower를 작게 함으로써, Na오염 저감을 기대할 수 있는 데이터를 얻을 수 있었다(도 4의 RF50W(1) 참조).
그러나, NF3에 의한 클리닝을 행한 후, 재검증을 행한바, ALD 성막시의 RFpower를 작게 한 것 만으로는, Na오염량이 낮아지지 않는 것을 알았다(도 4의 RF50W(2) 참조).
데이터를 상세하게 해석한바, 상기 LP-CVD법에 의한 코팅에 의한 사전 처리와 ALD 성막시에 RFpower를 작게 하는 것을 병용하는 조건으로, Na오염량이 낮아지고 있는 것이 발견되고, 재실험의 결과, 2개의 조합이 유효한 것이 확인되었다(도 4의 RF50W(3) 참조).
이러한 결과로부터, Na오염 혼입의 메커니즘과, 상기 방법에 의한 혼입 방지의 메커니즘을 추정하면, 다음과 같이 생각된다.
(1)Na는 석영 부재 중을 확산하기 쉽고, Na는 석영 부재의 밖으로부터 확산, 혼입한다.
(2)코팅막 중 등의 Na는, 이온, 혹은 거기에 가까운 형태로 존재한다. Na 확산은, 전기적 작용에 의해 촉진된다고 생각된다. 그 때문에, RFpower를 작게함으로써, Na 확산을 작게 할 수 있다.
(3)ALD법에 의한 코팅에서는, 플라즈마를 사용하므로 Na를 끌어당기고, 그 성막 중에 막자체에 Na를 취득하기 쉽다. 한 번 막중에 들어간 Na는, 확산 Path를 생성한다고 생각된다. 이에 대해, LP-CVD법에 의한 코팅에서는, 플라즈마를 사용하지 않기 때문에 Na를 끌어당기는 일은 없고, 막자체에 Na를 포함하지 않기 때문에 Na 확산 방지 능력이 높다(도 5 참조).
(4)ALD법에 의한 코팅에서는, 가스의 혼합이 없기 때문에 RF전극(269, 270)의 주변부의 석영 부재까지 코팅이 행해지지 않는다고 생각된다(도 6의 c 참조). 한편, LP-CVD법에 의한 코팅은, Dcs(SiH2Cl2)+NH3의 혼합 가스가, RF전극(269, 270)의 주변부의 석영 부재까지 도달하고, 버퍼실(237)의 내부까지 코팅이 행해지기 때문에, 특히 효과가 높아진다고 생각된다(도 6의 b참조).
이들 작용에 의해, Na의 오염량이 저감되었다고 생각된다.
이상과 같이, 본 발명의 바람직한 실시예에서는, ALD법에 의해 SiN막의 성막을 행하는 반도체 디바이스 제조 장치에 있어서, Na에 의한 웨이퍼의 오염을 저감 할 수 있다.
본 발명을 실시하기 위한 최선의 형태에 있어서, 기판 처리 장치는, 일예로서 반도체 장치(IC)의 제조 방법에 있어서의 처리 공정을 실시하는 반도체 제조 장치로서 구성되어 있다. 또한, 이하의 설명에서는, 기판 처리 장치로서 기판에 산화, 확산 처리나 CVD처리 등을 행하는 종형의 장치(이하, 간단히 처리 장치라고 한다)를 적용한 경우에 대해서 설명한다. 도 7은, 본 발명에 적용되는 처리 장치의 경사 투시도로서 나타나 있다.
도 7에 나타나 있는 바와 같이, 실리콘 등으로 이루어진 웨이퍼(기판)(200)를 수납한 웨이퍼 캐리어로서의 카세트(110)가 사용되고 있는 본 발명의 처리 장치(101)는, 케이스(111)를 구비하고 있다. 케이스(111)의 정면벽(111a)의 하부에는 메인트넌스 가능하게 설치된 개구부로서의 정면 메인트넌스(103)가 개설되고, 이 정면 메인트넌스(103)를 개폐하는 정면 메인트넌스 문(104)이 세워져 있다. 메인트넌스 문(104)에는, 카세트 반입 반출구(기판 수용기 반입 반출구)(112)가 케이스(111) 내외를 연통하도록 개설되어 있고, 카세트 반입 반출구(112)는 프런트 셔터(기판 수용기 반입 반출구 개폐 기구)(113)에 의해서 개폐되도록 되어 있다. 카세트 반입 반출구(112)의 케이스(111) 안쪽에는 카세트 스테이지(기판 수용기 수수대)(114)가 설치되어 있다. 카세트(110)는 카세트 스테이지(114) 상에 공정 내 반송 장치(도시 생략)에 의해서 반입되고, 또한 카세트 스테이지(114) 상으로부터 반출되도록 되어 있다. 카세트 스테이지(114)는, 공정 내 반송 장치에 의해서, 카세트(110) 내의 웨이퍼(200)가 수직 자세가 되고, 카세트(110)의 웨이퍼 출납구가 상방향을 향하도록 탑재된다. 카세트 스테이지(114)는, 카세트(110)를 케이스 후방으로 우회전 종방향 90° 회전하고, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출납구가 케이스 후방을 향하도록 동작 가능해지도록 구성되어 있다.
케이스(111) 내의 전후방향의 대략 중앙부에는, 카세트 선반(기판 수용기 탑재 선반)(105)이 설치되어 있고, 카세트 선반(105)은 복수단 복수열로 복수개의 카세트(110)를 보관하도록 구성되어 있다. 카세트 선반(105)에는 웨이퍼 이동 탑재 기구(125)의 반송 대상이 되는 카세트(110)가 수납되는 이동 탑재 선반(123)이 설치되어 있다. 또, 카세트 스테이지(114)의 상방에는 예비 카세트 선반(107)이 설치되고, 예비적으로 카세트(110)를 보관하도록 구성되어 있다.
카세트 스테이지(114)와 카세트 선반(105)과의 사이에는, 카세트 반송 장치(기판 수용기 반송 장치)(118)가 설치되어 있다. 카세트 반송 장치(118)는, 카세트(110)를 유지한 채로 승강 가능한 카세트 엘리베이터(기판 수용기 승강 기구)(118a)와 반송 기구로서의 카세트 반송 기구(기판 수용기 반송 기구)(118b)로 구성되어 있고, 카세트 엘리베이터(118a)와 카세트 반송 기구(118b)와의 연속 동작에 의해, 카세트 스테이지(114), 카세트 선반(105), 예비 카세트 선반(107)과의 사이에서 카세트(110)를 반송하도록 구성되어 있다.
카세트 선반(105)의 후방에는, 웨이퍼 이동 탑재 기구(기판 이동 탑재 기구)(125)가 설치되어 있고, 웨이퍼 이동 탑재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 내지 직동 가능한 웨이퍼 이동 탑재 장치(기판 이동 탑재 장치)(125a) 및 웨이퍼 이동 탑재 장치(125a)를 승강시키기 위한 웨이퍼 이동 탑재 장치 엘리베이터(기판 이동 탑재 장치 승강 기구)(125b)로 구성되어 있다. 웨이퍼 이동 탑재 장치 엘리베이터(125b)는, 내압 케이스(111)의 우측 단부에 설치되어 있다. 이들, 웨이퍼 이동 탑재 장치 엘리베이터(125b) 및 웨이퍼 이동 탑재 장치(125a)의 연속 동작에 의해, 웨이퍼 이동 탑재 장치(125a)의 트위저(기판 유지체)(125c)를 웨이퍼(200)의 탑재부로서, 보트(기판 유지구)(217)에 대해서 웨이퍼(200)를 장전(차징) 및 탈장(디스차징)하도록 구성되어 있다.
케이스(111)의 후부 상방에는, 처리로(202)가 설치되어 있다. 처리로(202)의 하단부는, 노구 셔터(노구 개폐 기구)(147)에 의해 개폐되도록 구성되어 있다. 처리로(202)의 하방에는 보트(217)를 처리로(202)에 승강시키는 승강 기구로서의 보트 엘리베이터(기판 유지구 승강 기구)(115)가 설치되고, 보트 엘리베이터(115)의 승강대에 연결된 연결구로서의 암(128)에는 덮개로서의 씰 캡(219)이 수평하게 고정되어 있고, 씰 캡(219)은 보트(217)를 수직에 지지하고, 처리로(202)의 하단부를 폐색 가능하도록 구성되어 있다.
보트(217)는 복수개의 유지 부재를 구비하고 있고, 복수장(예를 들면, 50장~150장 정도)의 웨이퍼(200)를 그 중심을 가지런히 해 수직 방향으로 정렬시킨 상태로, 각각 수평하게 유지하도록 구성되어 있다.
도 7에 나타나 있는 바와 같이, 카세트 선반(105)의 상방에는, 청정화된 분위기인 클린 에어를 공급하도록 공급 팬 및 방진 필터로 구성된 클린 유닛(134a)이 설치되어 있고 클린 에어(133)를 상기 케이스(111)의 내부에 유통시키도록 구성되어 있다.
또, 도 7에 모식적으로 나타나고 있는 바와 같이, 웨이퍼 이동 탑재 장치 엘리베이터(125b) 및 보트 엘리베이터(115)측과 반대측인 케이스(111)의 좌측 단부에는, 클린 에어를 공급하도록 공급 팬 및 방진 필터로 구성된 클린 유닛(134b)이 설치되어 있고, 클린 유닛(134b)으로부터 불어 나온 클린 에어는, 웨이퍼 이동 탑재 장치(125a), 보트(217)를 유통한 후에, 도시하지 않은 배기 장치에 빨려 들여가, 케이스(111)의 외부에 배기되도록 되어 있다.
다음에, 본 발명의 처리 장치의 동작에 대해서 설명한다.
도 7에 나타나 있는 바와 같이, 카세트(110)가 카세트 스테이지(114)에 공급되기에 앞서, 카세트 반입 반출구(112)가 프런트 셔터(113)에 의해서 개방된다. 그 후, 카세트(110)는 카세트 반입 반출구(112)로부터 반입되고, 카세트 스테이지(114) 위에 웨이퍼(200)가 수직 자세로서, 카세트(110)의 웨이퍼 출납구가 상방향을 향하도록 탑재된다. 그 후, 카세트(110)는 카세트 스테이지(114)에 의해서, 카세트(110) 내의 웨이퍼(200)가 수평 자세가 되고, 카세트(110)의 웨이퍼 출납구가 케이스 후방을 향하도록, 케이스 후방으로 우회전 종방향 90° 회전된다.
다음에, 카세트(110)는, 카세트 선반(105) 내지 예비 카세트 선반(107)의 지정된 선반 위치에 카세트 반송 장치(118)에 의해서 자동적으로 반송되어 수수되고, 일시적으로 보관된 후, 카세트 선반(105) 내지 예비 카세트 선반(107)으로부터 카세트 반송 장치(118)에 의해서 이동 탑재 선반(123)에 이동 탑재되거나, 혹은 직접 이동 탑재 선반(123)에 반송된다.
카세트(110)가 이동 탑재 선반(123)에 이동 탑재되면, 웨이퍼(200)는 카세트(110)로부터 웨이퍼 이동 탑재 장치(125a)의 트위저(125c)에 의해서 웨이퍼 출납구를 통해서 픽업되고, 이동 탑재실(124)의 후방에 있는 보트(217)에 장전(차징)된다. 보트(217)에 웨이퍼(200)를 수수한 웨이퍼 이동 탑재 장치(125a)는 카세트(110)로 되돌아오고, 다음의 웨이퍼(110)를 보트(217)에 장전한다.
미리 지정된 장수의 웨이퍼(200)가 보트(217)에 장전되면, 노구(爐口) 셔터(147)에 의해서 닫혀져 있던 처리로(202)의 하단부가, 노구 셔터(147)에 의해서 개방된다. 계속해서, 웨이퍼(200)군을 유지한 보트(217)는 씰 캡(219)이 보트 엘리베이터(115)에 의해서 상승됨으로써, 처리로(202) 내에 반입(로딩)되어 간다.
로딩 후는, 처리로(202)에서 웨이퍼(200)에 임의의 처리가 실시된다.
처리 후는, 상술의 반대의 순서로, 웨이퍼(200) 및 카세트(110)는 케이스(111)의 외부로 방출된다.
이상, 본 발명의 바람직한 실시예를 설명했지만, 본 발명의 바람직한 실시예에 의하면,
석영으로 이루어진 반응관에 의해 구획되고, 기판을 처리하는 공간을 제공하는 처리 공간과,
상기 반응관의 내벽에 설치되고, 상기 처리 공간과 구획되는 버퍼 공간과,
상기 버퍼 공간의 안쪽에 설치되는 전극으로서, 상기 제1 처리 가스를 플라즈마 여기할 때에 사용되고, 고주파 전압이 인가되는 상기 전극과,
상기 처리 공간을 가열하는 가열 부재와,
상기 처리 공간에 적어도 제1 및 제2 처리 가스를 공급하는 가스 공급 부재와,
상기 처리 공간의 분위기를 배출하는 배출 부재와,
적어도 상기 전극, 가열 부재, 가스 공급 부재 및 배출 부재를 제어하는 제어 부재로서, 상기 기판에 원하는 막을 생성할 때는, 플라즈마를 생성시키고 또한 상기 처리 공간을 제1 온도로 가열함과 함께, 상기 처리 공간 내에서 상기 제1 및 제2 처리 가스를 혼합시키지 않도록, 각각의 처리 가스의 공급과 배출을 교대로 복 수회 반복하도록 제어하고, 상기 처리 공간의 내벽 표면에 원하는 막을 코팅할 때는, 플라즈마는 생성시키지 않고 상기 처리 공간을 상기 제1 온도보다 높은 제2 온도로 가열함과 함께, 상기 제1 및 제2 처리 가스를 모두 상기 처리 공간에 공급하도록 제어하는 상기 제어 부재를 구비하고,
상기 제1 처리 가스는, 상기 버퍼 공간을 통해 상기 처리 공간에 공급되고,
상기 코팅막은, 상기 버퍼 공간의 내벽 표면에도 생성되는 제1 기판 처리 장치가 제공된다.
본 발명의 다른 바람직한 실시예에 의하면,
기판을 처리하는 공간을 제공하는 처리 공간과,
상기 처리 공간을 가열하는 가열 부재와,
상기 처리 공간에 적어도 제1 및 제2 처리 가스를 공급하는 가스 공급 부재와,
상기 처리 공간의 분위기를 배출하는 배출 부재와,
적어도 상기 가스 공급 부재와 배출 부재를 제어하는 제어 부재로서, 상기 기판에 원하는 막을 생성할 때는, 상기 처리 공간 내에서 상기 제1 및 제2 처리 가스를 혼합시키지 않도록, 각각의 처리 가스의 공급과 배출을 교대로 복수회 반복하도록 제어하고, 상기 처리 공간의 내벽 표면에 원하는 막을 코팅 할 때는, 상기 제1 및 제2 처리 가스를 모두 상기 처리 공간에 공급하도록 제어하는 상기 제어 부재를 구비하는 제2 기판 처리 장치가 제공된다.
바람직하게는, 제2 기판 처리 장치에 있어서,
상기 처리 공간은 석영으로 이루어진 반응관에 의해 구획되고,
상기 반응관의 내벽에는, 상기 처리 공간과 구획되는 버퍼 공간이 설치되고,
상기 제1 처리 가스는, 상기 버퍼 공간을 통해 상기 처리 공간에 공급되고,
상기 코팅막은, 상기 버퍼 공간의 내벽 표면에도 생성되는 제3 기판 처리 장치가 제공된다.
보다 바람직하게는, 제3 기판 처리 장치에 있어서,
상기 버퍼 공간의 안쪽에는, 상기 제1 처리 가스를 플라즈마 여기할 때에 사용되고, 고주파 전압이 인가되는 전극이 설치되고,
상기 기판에 원하는 막을 생성할 때는, 상기 전극에 의해 플라즈마를 생성시키고,
상기 처리 공간의 내벽 표면에 상기 코팅막을 생성할 때에는, 상기 전극에서 플라즈마는 생성시키지 않는 제4 기판 처리 장치가 제공된다.
더 바람직하게는, 제4 기판 처리 장치에 있어서,
상기 가열 부재는, 상기 기판에 원하는 막을 생성할 때에는, 상기 처리 공간을 제1 온도로 가열하고,
상기 처리 공간의 내벽 표면에 상기 코팅막을 생성할 때에는, 상기 처리 공간을 상기 제1 온도보다 높은 제2 온도로 가열하는 제5 기판 처리 장치가 제공된다.
가장 바람직하게는, 제4 기판 처리 장치에 있어서,
상기 전극은 가늘고 긴 구조를 갖는 2개의 전극으로 구성되고,
상기 기판에 원하는 막을 생성할 때, 상기 전극에 50W의 고주파 전력이 인가되는 제6 기판 처리 장치가 제공된다.
또 바람직하게는, 제2 기판 처리 장치에 있어서,
상기 가스 공급 부재는, 상기 제1 및 제2 처리 가스를 각각 독립하여 공급하는 가스 공급계를 구비하고,
상기 기판에 원하는 막을 생성할 때와, 상기 처리 공간의 내벽 표면에 상기 코팅막을 생성할 때는, 상기 제1 및 제2 처리 가스는 같은 가스 공급계로부터 상기 처리 공간에 공급되는 제7 기판 처리 장치가 제공된다.
또, 바람직하게는, 제2 기판 처리 장치에 있어서,
상기 처리 공간의 내벽 표면에의 원하는 막의 코팅은, 상기 처리 공간에 크리닝 가스를 공급하여 행해지는 클리닝 처리가 실행된 다음으로서, 상기 기판에 원하는 막을 생성하는 처리 전에 실시되는 제8 기판 처리 장치가 제공된다.
본 발명의 다른 바람직한 실시예에 의하면,
기판을 처리하는 공간을 제공하는 처리 공간과,
상기 처리 공간을 가열하는 가열부와,
상기 처리 공간에 제1 처리 가스를 공급하는 제1 가스 공급부와,
상기 처리 공간에 제2 처리 가스를 공급하는 제2 가스 공급부와,
상기 처리 공간의 분위기를 배출하는 배출부와,
적어도 상기 가열부, 제1 및 제2 가스 공급부 및 배출부를 제어하는 제어부를 구비하고,
상기 제어부는,
상기 기판이 상기 처리 공간에 수용되어 있을 때는, 상기 제1 처리 가스와 상기 제2 처리 가스가 상기 처리 공간에 모두 공급되지 않도록, 상기 제1 가스 공급부와 상기 제2 가스 공급부 중 어느 한쪽의 가스 공급부로부터 상기 제1 처리 가스 또는 상기 제2 처리 가스를 공급하고 있는 동안은, 다른 쪽의 가스 공급부로부터 불활성 가스를 공급하고,
상기 기판이 상기 처리 공간에 수용되어 있지 않은 때는, 상기 제1 가스 공급부로부터 상기 제1 처리 가스를, 상기 제2 가스 공급부로부터 상기 제2 처리 가스를 상기 처리 공간에 모두 공급하도록 제어하는 제9 기판 처리 장치가 제공된다.
명세서, 특허 청구의 범위, 도면 및 요약서를 포함하는 2006년 3월 28일 제출의 일본국 특허 출원 2006-088192호의 개시 내용 전체는, 본국에 있어서의 출원에서 지정한 지정국, 또는 선택한 선택국의 국내법령이 허락하는 한, 그대로 인용하여 여기에 짜넣어진다.
여러 가지의 전형적인 실시예를 계시 또한 설명해 왔지만, 본 발명은 그들 실시예에 한정되지 않는다. 따라서, 본 발명의 범위는, 다음의 청구의 범위에 의해서만 한정되는 것이다.
이상 설명한 바와 같이, 본 발명의 바람직한 실시예에 의하면, Na에 의한 기판의 오염을 저감할 수 있다. 그 결과, 본 발명은, ALD법에 의해 성막을 행하는 기판 처리 장치에 특히 적합하게 이용할 수 있다.

Claims (9)

  1. 석영으로 이루어진 반응관에 의해 구획되고, 기판을 처리하는 공간을 제공하는 처리 공간과,
    상기 반응관의 내벽에 설치되고, 상기 처리 공간과 구획되는 버퍼 공간과,
    상기 버퍼 공간의 안쪽에 설치되는 전극으로서, 상기 제1 처리 가스를 플라즈마 여기할 때에 사용되고, 고주파 전압이 인가되는 상기 전극과,
    상기 처리 공간을 가열하는 가열 부재와,
    상기 처리 공간에 적어도 제1 및 제2 처리 가스를 공급하는 가스 공급 부재와,
    상기 처리 공간의 분위기를 배출하는 배출 부재와,
    적어도 상기 전극, 가열 부재, 가스 공급 부재 및 배출 부재를 제어하는 제어 부재로서, 상기 기판에 원하는 막을 생성할 때는, 플라즈마를 생성시키고 또한 상기 처리 공간을 제1 온도로 가열함과 함께, 상기 처리 공간 내에서 상기 제1 및 제2 처리 가스를 혼합시키지 않도록, 각각의 처리 가스의 공급과 배출을 교대로 복수회 반복하도록 제어하고, 상기 처리 공간의 내벽 표면에 원하는 막을 코팅할 때는, 플라즈마는 생성시키지 않고 상기 처리 공간을 상기 제1 온도보다 높은 제2 온도로 가열함과 함께, 상기 제1 및 제2 처리 가스를 모두 상기 처리 공간에 공급하도록 제어하는 상기 제어 부재를 구비하고,
    상기 제1 처리 가스는, 상기 버퍼 공간을 통해 상기 처리 공간에 공급되고,
    상기 코팅막은, 상기 버퍼 공간의 내벽 표면에도 생성된 기판 처리 장치.
  2. 기판을 처리하는 공간을 제공하는 처리 공간과,
    상기 처리 공간을 가열하는 가열 부재와,
    상기 처리 공간에 적어도 제1 및 제2 처리 가스를 공급하는 가스 공급 부재와,
    상기 처리 공간의 분위기를 배출하는 배출 부재와,
    적어도 상기 가스 공급 부재와 배출 부재를 제어하는 제어 부재로서, 상기 기판에 원하는 막을 생성할 때는, 상기 처리 공간 내에서 상기 제1 및 제2 처리 가스를 혼합시키지 않도록, 각각의 처리 가스의 공급과 배출을 교대로 복수회 반복하도록 제어하고, 상기 처리 공간의 내벽 표면에 원하는 막을 코팅 할 때는, 상기 제1 및 제2 처리 가스를 모두 상기 처리 공간에 공급하도록 제어하는 상기 제어 부재를 구비하는 기판 처리 장치.
  3. 청구항 2에 있어서,
    상기 처리 공간은 석영으로 이루어진 반응관에 의해 구획되고,
    상기 반응관의 내벽에는, 상기 처리 공간과 구획되는 버퍼 공간이 설치되고,
    상기 제1 처리 가스는, 상기 버퍼 공간을 통해 상기 처리 공간에 공급되고,
    상기 코팅막은, 상기 버퍼 공간의 내벽 표면에도 생성된 기판 처리 장치.
  4. 청구항 3에 있어서,
    상기 버퍼 공간의 안쪽에는, 상기 제1 처리 가스를 플라즈마 여기할 때에 사용되고, 고주파 전압이 인가되는 전극이 설치되고,
    상기 기판에 원하는 막을 생성할 때는, 상기 전극에 의해 플라즈마를 생성시키고,
    상기 처리 공간의 내벽 표면에 상기 코팅막을 생성할 때에는, 상기 전극에서 플라즈마는 생성시키지 않는 기판 처리 장치.
  5. 청구항 4에 있어서,
    상기 가열 부재는, 상기 기판에 원하는 막을 생성할 때에는, 상기 처리 공간을 제1 온도로 가열하고,
    상기 처리 공간의 내벽 표면에 상기 코팅막을 생성할 때에는, 상기 처리 공간을 상기 제1 온도보다 높은 제2 온도로 가열하는 기판 처리 장치.
  6. 청구항 4에 있어서,
    상기 전극은 가늘고 긴 구조를 갖는 2개의 전극으로 구성되고,
    상기 기판에 원하는 막을 생성할 때에는, 상기 전극에 50W의 고주파 전력이 인가되는 기판 처리 장치.
  7. 청구항 2에 있어서,
    상기 가스 공급 부재는, 상기 제1 및 제2 처리 가스를 각각 독립하여 공급하는 가스 공급계를 구비하고,
    상기 기판에 원하는 막을 생성할 때와, 상기 처리 공간의 내벽 표면에 상기 코팅막을 생성할 때는, 상기 제1 및 제2 처리 가스는 같은 가스 공급계로부터 상기 처리 공간에 공급되는 기판 처리 장치.
  8. 청구항 2에 있어서,
    상기 처리 공간의 내벽 표면으로의 원하는 막의 코팅은, 상기 처리 공간에 크리닝 가스를 공급하여 행해지는 클리닝 처리가 실행된 다음으로서, 상기 기판에 원하는 막을 생성하는 처리의 전에 실시되는 기판 처리 장치.
  9. 기판을 처리하는 공간을 제공하는 처리 공간과,
    상기 처리 공간을 가열하는 가열부와,
    상기 처리 공간에 제1 처리 가스를 공급하는 제1 가스 공급부와,
    상기 처리 공간에 제2 처리 가스를 공급하는 제2 가스 공급부와,
    상기 처리 공간의 분위기를 배출하는 배출부와,
    적어도 상기 가열부, 제1 및 제2 가스 공급부 및 배출부를 제어하는 제어부를 구비하고,
    상기 제어부는,
    상기 기판이 상기 처리 공간에 수용되어 있을 때는, 상기 제1 처리 가스와 상기 제2 처리 가스가 상기 처리 공간에 모두 공급되지 않도록, 상기 제1 가스 공급부와 상기 제2 가스 공급부 중 어느 한쪽의 가스 공급부로부터 상기 제1 처리 가스 또는 상기 제2 처리 가스를 공급하고 있는 동안은, 다른 쪽의 가스 공급부로부터 불활성 가스를 공급하고,
    상기 기판이 상기 처리 공간에 수용되어 있지 않은 때는, 상기 제1 가스 공급부로부터 상기 제1 처리 가스를, 상기 제2의 가스 공급부로부터 상기 제2 처리 가스를 상기 처리 공간에 모두 공급하도록 제어하는 기판 처리 장치.
KR1020077030319A 2006-03-28 2007-03-28 기판 처리 장치 KR100974134B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00088192 2006-03-28
JP2006088192 2006-03-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020107012159A Division KR101047230B1 (ko) 2006-03-28 2007-03-28 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20080015883A true KR20080015883A (ko) 2008-02-20
KR100974134B1 KR100974134B1 (ko) 2010-08-04

Family

ID=38541264

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107012159A KR101047230B1 (ko) 2006-03-28 2007-03-28 기판 처리 장치
KR1020077030319A KR100974134B1 (ko) 2006-03-28 2007-03-28 기판 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107012159A KR101047230B1 (ko) 2006-03-28 2007-03-28 기판 처리 장치

Country Status (4)

Country Link
US (2) US8176871B2 (ko)
JP (3) JPWO2007111348A1 (ko)
KR (2) KR101047230B1 (ko)
WO (1) WO2007111348A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
KR100938534B1 (ko) * 2003-09-19 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
WO2006087893A1 (ja) * 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 基板処理方法および基板処理装置
JP4951501B2 (ja) * 2005-03-01 2012-06-13 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
US20120122319A1 (en) * 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5202372B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5575299B2 (ja) * 2009-11-27 2014-08-20 東京エレクトロン株式会社 成膜方法および成膜装置
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US8895457B2 (en) 2010-03-08 2014-11-25 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US20140199854A1 (en) * 2013-01-16 2014-07-17 United Microelectronics Corp. Method of forming film on different surfaces
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
JP6196925B2 (ja) * 2014-03-26 2017-09-13 東京エレクトロン株式会社 薄膜形成装置の立ち上げ方法、及び、薄膜形成装置
JP6307984B2 (ja) * 2014-03-31 2018-04-11 東京エレクトロン株式会社 基板処理装置
WO2016175488A1 (ko) * 2015-04-28 2016-11-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US10415137B2 (en) 2016-01-01 2019-09-17 Applied Materials, Inc. Non-metallic thermal CVD/ALD Gas Injector and Purge Systems
KR102501650B1 (ko) * 2018-08-03 2023-02-21 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1258301A (ko) * 1968-03-15 1971-12-30
JPS5242075A (en) * 1975-09-29 1977-04-01 Nippon Denso Co Ltd Device for controlling gas atmosphere in semiconductor producing equip ment
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
JPS59207620A (ja) * 1983-05-10 1984-11-24 Zenko Hirose アモルフアスシリコン成膜装置
US4499853A (en) * 1983-12-09 1985-02-19 Rca Corporation Distributor tube for CVD reactor
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
CA1251100A (en) * 1985-05-17 1989-03-14 Richard Cloutier Chemical vapor deposition
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
JP2594051B2 (ja) * 1987-02-02 1997-03-26 東京エレクトロン株式会社 プラズマ処理方法
US4854266A (en) * 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US5279670A (en) * 1990-03-31 1994-01-18 Tokyo Electron Sagami Limited Vertical type diffusion apparatus
JP3115015B2 (ja) * 1991-02-19 2000-12-04 東京エレクトロン株式会社 縦型バッチ処理装置
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JPH06319017A (ja) * 1993-05-10 1994-11-15 Mitsubishi Rayon Co Ltd 画像読取りユニット
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
JP3372647B2 (ja) * 1994-04-18 2003-02-04 キヤノン株式会社 プラズマ処理装置
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5591268A (en) * 1994-10-14 1997-01-07 Fujitsu Limited Plasma process with radicals
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JPH0955372A (ja) * 1995-08-11 1997-02-25 Nippon Steel Corp プラズマ処理装置
JP3373990B2 (ja) * 1995-10-30 2003-02-04 東京エレクトロン株式会社 成膜装置及びその方法
JP3437376B2 (ja) * 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US6388381B2 (en) * 1996-09-10 2002-05-14 The Regents Of The University Of California Constricted glow discharge plasma source
US6140773A (en) * 1996-09-10 2000-10-31 The Regents Of The University Of California Automated control of linear constricted plasma source array
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
JP2973971B2 (ja) * 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
US6135053A (en) * 1997-07-16 2000-10-24 Canon Kabushiki Kaisha Apparatus for forming a deposited film by plasma chemical vapor deposition
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100394571B1 (ko) * 1999-09-17 2003-08-14 삼성전자주식회사 화학기상증착용 튜브
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
DE10029428A1 (de) * 2000-06-15 2002-01-03 Bsh Bosch Siemens Hausgeraete Luftführendes Haushaltsgerät mit waschbarem Filter
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6887337B2 (en) * 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US20020153103A1 (en) * 2001-04-20 2002-10-24 Applied Process Technologies, Inc. Plasma treatment apparatus
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
DE10135471A1 (de) * 2001-07-20 2003-01-30 Bsh Bosch Siemens Hausgeraete Wäschetrockner mit herausnehmbarem Filter
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
JP4086146B2 (ja) * 2002-03-26 2008-05-14 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
WO2004044970A1 (ja) 2002-11-11 2004-05-27 Hitachi Kokusai Electric Inc. 基板処理装置
DE10260156A1 (de) * 2002-12-20 2004-07-01 BSH Bosch und Siemens Hausgeräte GmbH Wäschetrockner und Verwendung eines Ultraschallzerstäubers
DE10260151A1 (de) * 2002-12-20 2004-07-01 BSH Bosch und Siemens Hausgeräte GmbH Wäschetrockner und Verfahren zur Geruchsentfernung aus Textilien
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP4226597B2 (ja) * 2003-03-04 2009-02-18 株式会社日立国際電気 基板処理装置およびデバイスの製造方法
KR100520900B1 (ko) * 2003-03-13 2005-10-12 주식회사 아이피에스 Ald 박막증착방법
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
DE10331383A1 (de) 2003-07-11 2005-02-10 E. Begerow Gmbh & Co Vorrichtung zum Filtrieren von Fluiden
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
WO2005098922A1 (ja) * 2004-03-31 2005-10-20 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4951501B2 (ja) * 2005-03-01 2012-06-13 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
WO2006130838A2 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US7601404B2 (en) * 2005-06-09 2009-10-13 United Microelectronics Corp. Method for switching decoupled plasma nitridation processes of different doses
US7387968B2 (en) * 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR100706790B1 (ko) * 2005-12-01 2007-04-12 삼성전자주식회사 산화 처리 장치 및 방법
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP4828599B2 (ja) * 2006-05-01 2011-11-30 株式会社日立国際電気 基板処理装置
US20080292811A1 (en) * 2007-05-25 2008-11-27 Choi Young-Jin Chamber idle process for improved repeatability of films
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP2010129666A (ja) * 2008-11-26 2010-06-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
US20090181547A1 (en) 2009-07-16
KR100974134B1 (ko) 2010-08-04
KR101047230B1 (ko) 2011-07-06
US8176871B2 (en) 2012-05-15
US20090151632A1 (en) 2009-06-18
KR20100082802A (ko) 2010-07-19
JP2009147372A (ja) 2009-07-02
WO2007111348A1 (ja) 2007-10-04
JP5253589B2 (ja) 2013-07-31
JPWO2007111348A1 (ja) 2009-08-13
JP2012084922A (ja) 2012-04-26

Similar Documents

Publication Publication Date Title
KR100974134B1 (ko) 기판 처리 장치
JP4734317B2 (ja) 基板処理方法および基板処理装置
KR100860437B1 (ko) 기판 처리 장치 및 반도체 디바이스의 제조 방법
KR100909750B1 (ko) 기판 처리 장치 및 반도체 디바이스의 제조 방법
KR101289305B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
KR101035906B1 (ko) 기판 처리 장치 및 그 코팅 방법
US8193101B2 (en) Substrate processing apparatus and semiconductor device manufacturing method for forming film
JP4836761B2 (ja) 半導体デバイスの製造方法
JP4242733B2 (ja) 半導体装置の製造方法
JP2007027425A (ja) 基板処理装置
JP4938805B2 (ja) 基板処理装置
JP4716737B2 (ja) 基板処理装置
JP2005243737A (ja) 基板処理装置
JP2010118441A (ja) 半導体装置の製造方法
JP2011035191A (ja) 基板処理装置
JP2005285922A (ja) 基板処理装置
JP2005167021A (ja) 基板処理装置
JP4936497B2 (ja) 基板処理装置及び基板処理方法
JP2006216612A (ja) 基板処理装置
JP2006261441A (ja) 基板処理装置
JP2011100896A (ja) 基板処理装置
JP2005033058A (ja) 基板処理装置
JP2005191169A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130705

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150626

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160701

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170704

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180719

Year of fee payment: 9