KR20070102960A - Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm) - Google Patents
Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm) Download PDFInfo
- Publication number
- KR20070102960A KR20070102960A KR1020070037320A KR20070037320A KR20070102960A KR 20070102960 A KR20070102960 A KR 20070102960A KR 1020070037320 A KR1020070037320 A KR 1020070037320A KR 20070037320 A KR20070037320 A KR 20070037320A KR 20070102960 A KR20070102960 A KR 20070102960A
- Authority
- KR
- South Korea
- Prior art keywords
- deposition
- etching
- layer
- substrate
- metal deposition
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 147
- 230000008569 process Effects 0.000 title claims abstract description 70
- 238000000151 deposition Methods 0.000 claims abstract description 160
- 230000008021 deposition Effects 0.000 claims abstract description 135
- 239000000758 substrate Substances 0.000 claims abstract description 101
- 238000004544 sputter deposition Methods 0.000 claims abstract description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 9
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 9
- 239000010703 silicon Substances 0.000 claims abstract description 9
- 238000005530 etching Methods 0.000 claims description 127
- 239000007789 gas Substances 0.000 claims description 79
- 238000001465 metallisation Methods 0.000 claims description 23
- 238000001816 cooling Methods 0.000 claims description 18
- 239000000463 material Substances 0.000 claims description 16
- 239000011737 fluorine Substances 0.000 claims description 15
- 229910052731 fluorine Inorganic materials 0.000 claims description 15
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 14
- 238000010438 heat treatment Methods 0.000 claims description 10
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 8
- 235000011194 food seasoning agent Nutrition 0.000 claims description 8
- 229910052786 argon Inorganic materials 0.000 claims description 6
- 239000000112 cooling gas Substances 0.000 claims description 5
- 229910052734 helium Inorganic materials 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 4
- 229910052760 oxygen Inorganic materials 0.000 claims description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 3
- 230000003628 erosive effect Effects 0.000 claims description 3
- 239000001307 helium Substances 0.000 claims description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 3
- 239000001301 oxygen Substances 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims 1
- 150000002431 hydrogen Chemical class 0.000 claims 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 abstract description 25
- 229910052814 silicon oxide Inorganic materials 0.000 abstract description 25
- 150000002500 ions Chemical class 0.000 abstract description 5
- 239000000376 reactant Substances 0.000 abstract description 4
- 230000001590 oxidative effect Effects 0.000 abstract description 2
- 229910052736 halogen Inorganic materials 0.000 abstract 3
- 150000002367 halogens Chemical class 0.000 abstract 3
- 239000010410 layer Substances 0.000 description 27
- 238000004140 cleaning Methods 0.000 description 22
- 150000004767 nitrides Chemical class 0.000 description 10
- 238000012545 processing Methods 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 238000011049 filling Methods 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- 238000004590 computer program Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000005684 electric field Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 230000007704 transition Effects 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- 238000011282 treatment Methods 0.000 description 2
- ZQXCQTAELHSNAT-UHFFFAOYSA-N 1-chloro-3-nitro-5-(trifluoromethyl)benzene Chemical compound [O-][N+](=O)C1=CC(Cl)=CC(C(F)(F)F)=C1 ZQXCQTAELHSNAT-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000000498 cooling water Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005108 dry cleaning Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 238000011534 incubation Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 229910052574 oxide ceramic Inorganic materials 0.000 description 1
- 239000011224 oxide ceramic Substances 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 238000011165 process development Methods 0.000 description 1
- 230000000750 progressive effect Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Element Separation (AREA)
Abstract
Description
Claims (21)
- 기판 상에 형성된 예비-금속 증착 트렌치 충진 방법으로서,고밀도 CVD 챔버 내의 상기 기판 상에 층을 증착시키고, 상기 기판을 400℃ 미만으로 냉각시키는 층 증착 단계, 및 상기 챔버 내의 상기 기판 상에 증착된 층의 일부분을 식각하는 단계를 포함하는 주기(cycle)를 실행하는 단계; 및미리결정된 수의 주기 동안, 상기 층을 증착하고 상기 층의 일부분을 식각하는 상기 주기를 반복하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 층을 증착하고 상기 층의 일부분을 식각하는 상기 주기를 반복하는 단계는 하나 이상의 초기 주기에 이용된 공정 조건과 실질적으로 동일한 공정 조건을 설정하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 기판에 열적으로 연결되는 플랫폼을 냉각시키도록 후방 냉각 가스를 유 동시키는 단계를 더 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 층 증착 단계는 증착 가스 및 스퍼터링 가스를 포함하는 플라즈마를 발생시키는 단계를 포함하며, 증착 대 스퍼터링의 비율은 10:1 내지 60:1의 범위를 가지는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,증착 및 식각으로 이루어진 각각의 연속 주기는 상기 층에 의해 충진되는 상기 트렌치의 종횡비를 감소시키는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 층을 증착하는 단계는 상기 트렌치의 바닥에, 상기 트렌치의 측벽에, 그리고 상기 트렌치의 개구에 인접하여 재료를 증착시키는 단계를 포함하며,식각 단계는 상기 트렌치의 바닥 보다는 상기 트렌치의 개구에 인접하여 증착된 재료의 상대적으로 보다 많은 부분을 제거하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,식각 단계는 플루오르-함유 가스 및 수소와 헬륨 중 하나 이상의 플라즈마를 설정하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 증착된 층의 일부를 식각하는 단계는 상기 층의 증착된 두께의 5 내지 30%를 제거하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,식각 단계는 상기 기판을 상기 증착 온도보다 높은 온도로 가열하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,식각 단계는 이방성 식각 및 등방성 식각의 다-단계 식각을 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,제 1 식각 주기에서 식각되는 상기 증착 층의 부분은 후속 식각 주기에서 식각되는 상기 증착 층의 부분보다 많은,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,제 1 증착-식각 주기에서 상기 증착된 층의 일부분을 식각하는 단계는 종점 기술에 의해 정지되며, 후속 증착-식각 주기에서 상기 증착된 층의 일부분을 식각하는 단계는 시간이 지남에 따라 정지되는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 증착-식각 주기를 반복하기 전에, 아르곤, 수소 및 헬륨 중 하나 이상과 산소의 플라즈마를 설정하는 단계를 더 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 증착-식각 주기를 반복하기 전에, 수소의 스퍼터링 플라즈마를 설정하는 단계를 더 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 층을 증착하는 단계는 상기 기판을 300℃ 미만으로 냉각시키는 단계를 포함하며, 상기 미리결정된 수의 주기 동안 상기 증착-식각 주기를 반복한 후에, 상기 층 내의 수분을 감소시키도록 상기 기판을 가열하는 단계를 더 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 미리결정된 수의 주기 동안 상기 증착-식각 주기를 반복한 후에, 블랭킷 층을 증착하는 단계를 더 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 15 항에 있어서,상기 기판을 가열하는 단계는 상기 챔버 내에 하나 이상의 희가스 또는 증착 가스의 고-전력 플라즈마를 발생시키는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 증착-식각 주기를 착수하기 전에, 상기 층의 일부분을 식각하는데 이용되는 식각 가스에 의한 상기 챔버의 침식을 방지하도록 실리콘-부화(rich) 증착으로 상기 챔버를 시즈닝(seasoning)하는 단계를 더 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 18 항에 있어서,상기 챔버를 시즈닝하는 단계는 상기 챔버 내의 증착의 두께를 상기 층의 두께보다 4 내지 20 배 두껍게 증착하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 층을 증착하는 단계는 각각의 주기에서 약 1000 Å 미만으로 증착하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
- 제 1 항에 있어서,상기 층의 일부분을 식각하는 단계는 하나 이상의 플루오르화탄소 식각 가스의 플라즈마를 형성하는 단계를 포함하는,예비-금속 증착 트렌치 충진 방법.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US79274306P | 2006-04-17 | 2006-04-17 | |
US60/792,743 | 2006-04-17 | ||
US11/553,772 | 2006-10-27 | ||
US11/553,772 US7524750B2 (en) | 2006-04-17 | 2006-10-27 | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20070102960A true KR20070102960A (ko) | 2007-10-22 |
KR100880967B1 KR100880967B1 (ko) | 2009-02-03 |
Family
ID=38906757
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020070037320A Expired - Fee Related KR100880967B1 (ko) | 2006-04-17 | 2007-04-17 | Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm) |
Country Status (6)
Country | Link |
---|---|
US (1) | US7524750B2 (ko) |
JP (1) | JP5405004B2 (ko) |
KR (1) | KR100880967B1 (ko) |
CN (1) | CN100539039C (ko) |
SG (1) | SG136873A1 (ko) |
TW (1) | TW200802605A (ko) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20130129146A (ko) * | 2012-05-18 | 2013-11-27 | 노벨러스 시스템즈, 인코포레이티드 | 탄소 성막-에칭-애싱 갭 충전 프로세스 |
KR20180030237A (ko) * | 2010-03-31 | 2018-03-21 | 램 리써치 코포레이션 | 실리콘 식각을 위한 무기의 급속 교번 프로세스 |
KR101878665B1 (ko) * | 2016-05-26 | 2018-07-18 | 참엔지니어링(주) | 기판 처리 방법 |
KR20200020612A (ko) * | 2018-08-17 | 2020-02-26 | 도쿄엘렉트론가부시키가이샤 | 성막 방법 및 성막 장치 |
US11049716B2 (en) | 2015-04-21 | 2021-06-29 | Lam Research Corporation | Gap fill using carbon-based films |
Families Citing this family (90)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7825038B2 (en) * | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US8232176B2 (en) * | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20110027999A1 (en) * | 2006-08-16 | 2011-02-03 | Freescale Semiconductor, Inc. | Etch method in the manufacture of an integrated circuit |
KR100818714B1 (ko) * | 2007-04-10 | 2008-04-02 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7678715B2 (en) * | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
KR101002474B1 (ko) * | 2007-12-28 | 2010-12-17 | 주식회사 하이닉스반도체 | 반도체 메모리 소자의 소자 분리막 형성 방법 |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US7972968B2 (en) * | 2008-08-18 | 2011-07-05 | Applied Materials, Inc. | High density plasma gapfill deposition-etch-deposition process etchant |
JP5514420B2 (ja) * | 2008-09-17 | 2014-06-04 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置の製造方法 |
CN101752292B (zh) * | 2008-12-19 | 2012-12-19 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离结构的制造方法 |
CN101752291B (zh) * | 2008-12-22 | 2013-10-09 | 中芯国际集成电路制造(上海)有限公司 | 浅沟槽隔离结构的制造方法 |
CN102768933B (zh) * | 2009-01-31 | 2017-06-30 | 应用材料公司 | 用于蚀刻的方法 |
WO2010123707A2 (en) * | 2009-04-20 | 2010-10-28 | Applied Materials, Inc. | Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US7989365B2 (en) * | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
JP2013516763A (ja) * | 2009-12-30 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長 |
US8329262B2 (en) * | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
SG182336A1 (en) * | 2010-01-06 | 2012-08-30 | Applied Materials Inc | Flowable dielectric using oxide liner |
SG182333A1 (en) | 2010-01-07 | 2012-08-30 | Applied Materials Inc | In-situ ozone cure for radical-component cvd |
KR101853802B1 (ko) * | 2010-03-05 | 2018-05-02 | 어플라이드 머티어리얼스, 인코포레이티드 | 라디칼성분 cvd에 의한 컨포멀 층들 |
US8236708B2 (en) * | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
JP5661523B2 (ja) * | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8912096B2 (en) * | 2011-04-28 | 2014-12-16 | Applied Materials, Inc. | Methods for precleaning a substrate prior to metal silicide fabrication process |
JP5870568B2 (ja) | 2011-05-12 | 2016-03-01 | 東京エレクトロン株式会社 | 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体 |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
JP5712874B2 (ja) * | 2011-09-05 | 2015-05-07 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US20130288485A1 (en) * | 2012-04-30 | 2013-10-31 | Applied Materials, Inc. | Densification for flowable films |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
JP5939147B2 (ja) | 2012-12-14 | 2016-06-22 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置及び成膜方法 |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9798317B2 (en) | 2013-07-03 | 2017-10-24 | Tokyo Electron Limited | Substrate processing method and control apparatus |
JP6267881B2 (ja) * | 2013-07-03 | 2018-01-24 | 東京エレクトロン株式会社 | 基板処理方法及び制御装置 |
US20150048477A1 (en) * | 2013-08-16 | 2015-02-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US20150206803A1 (en) * | 2014-01-19 | 2015-07-23 | United Microelectronics Corp. | Method of forming inter-level dielectric layer |
JP2015179729A (ja) * | 2014-03-19 | 2015-10-08 | 東京エレクトロン株式会社 | シリコン酸化膜の形成方法およびその形成装置 |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US10096464B2 (en) | 2014-10-04 | 2018-10-09 | Applied Materials, Inc. | Atomic layer deposition of high density silicon dioxide |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9627247B2 (en) * | 2015-06-03 | 2017-04-18 | Macronix International Co., Ltd. | Semiconductor device and method of fabricating the same |
JP6584352B2 (ja) * | 2016-03-24 | 2019-10-02 | 東京エレクトロン株式会社 | 制御装置、基板処理システム、基板処理方法及びプログラム |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
US10037884B2 (en) * | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
JP6869024B2 (ja) * | 2016-12-20 | 2021-05-12 | 東京エレクトロン株式会社 | パーティクル除去方法及び基板処理方法 |
JP6913569B2 (ja) * | 2017-08-25 | 2021-08-04 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10727046B2 (en) * | 2018-07-06 | 2020-07-28 | Lam Research Corporation | Surface modified depth controlled deposition for plasma based deposition |
US11293098B2 (en) * | 2018-07-11 | 2022-04-05 | Lam Research Corporation | Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching |
TWI719361B (zh) * | 2018-11-20 | 2021-02-21 | 財團法人金屬工業研究發展中心 | 化學氣相沉積設備、用於化學氣相沉積設備之方法及非暫態電腦可讀取媒體 |
CN111270224B (zh) * | 2018-12-04 | 2022-06-28 | 财团法人金属工业研究发展中心 | 化学气相沉积设备及用于该设备的方法和功率补偿模块 |
US12040181B2 (en) | 2019-05-01 | 2024-07-16 | Lam Research Corporation | Modulated atomic layer deposition |
US20200365596A1 (en) * | 2019-05-15 | 2020-11-19 | Micron Technology, Inc. | Semiconductor formation using hybrid oxidation |
JP2021034515A (ja) * | 2019-08-22 | 2021-03-01 | 東京エレクトロン株式会社 | クリーニング方法及びマイクロ波プラズマ処理装置 |
JP7471074B2 (ja) * | 2019-12-02 | 2024-04-19 | キヤノントッキ株式会社 | 成膜方法及び成膜装置 |
US12252782B2 (en) | 2019-12-02 | 2025-03-18 | Lam Research Corporation | In-situ PECVD cap layer |
KR20220162166A (ko) * | 2020-04-01 | 2022-12-07 | 램 리써치 코포레이션 | 갭 충진 동안 심 완화 및 통합된 라이너 |
CN111554590B (zh) * | 2020-04-16 | 2021-04-13 | 上海陛通半导体能源科技股份有限公司 | 半导体填孔真空系统及填孔方法 |
CN113937053B (zh) * | 2020-06-29 | 2024-08-06 | 无锡华润微电子有限公司 | 浅沟槽隔离结构的制造方法及半导体器件的制造方法 |
US20220157602A1 (en) * | 2020-11-18 | 2022-05-19 | Applied Materials, Inc. | Silicon oxide gap fill using capacitively coupled plasmas |
KR20220130026A (ko) * | 2021-03-17 | 2022-09-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12080516B2 (en) * | 2021-11-23 | 2024-09-03 | Applied Materials, Inc. | High density plasma enhanced process chamber |
WO2024006211A1 (en) * | 2022-06-27 | 2024-01-04 | Lam Research Corporation | Deposition and etch of silicon-containing layer |
TW202445647A (zh) * | 2022-12-15 | 2024-11-16 | 美商蘭姆研究公司 | 低k介電間隙填充 |
Family Cites Families (109)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE3205345A1 (de) | 1982-02-15 | 1983-09-01 | Philips Patentverwaltung Gmbh, 2000 Hamburg | "verfahren zur herstellung von fluordotierten lichtleitfasern" |
JPS61276977A (ja) | 1985-05-30 | 1986-12-06 | Canon Inc | 堆積膜形成法 |
US4690746A (en) | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4872947A (en) | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
EP0283311B1 (en) | 1987-03-18 | 2001-08-01 | Kabushiki Kaisha Toshiba | Thin film forming method |
US4851370A (en) | 1987-12-28 | 1989-07-25 | American Telephone And Telegraph Company, At&T Bell Laboratories | Fabricating a semiconductor device with low defect density oxide |
JPH0258836A (ja) | 1988-08-24 | 1990-02-28 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5013691A (en) | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
JP2960466B2 (ja) | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | 半導体デバイスの配線絶縁膜の形成方法及びその装置 |
US5089442A (en) | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
JP2640174B2 (ja) | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
JP3206916B2 (ja) | 1990-11-28 | 2001-09-10 | 住友電気工業株式会社 | 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス |
DE69130947T2 (de) | 1991-01-08 | 1999-07-08 | Fujitsu Ltd., Kawasaki, Kanagawa | Verfahren zur bildung eines siliciumoxid-filmes |
JP2697315B2 (ja) | 1991-01-23 | 1998-01-14 | 日本電気株式会社 | フッ素含有シリコン酸化膜の形成方法 |
JPH04341568A (ja) | 1991-05-16 | 1992-11-27 | Toshiba Corp | 薄膜形成方法及び薄膜形成装置 |
DE69224640T2 (de) | 1991-05-17 | 1998-10-01 | Lam Res Corp | VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT |
JP2699695B2 (ja) | 1991-06-07 | 1998-01-19 | 日本電気株式会社 | 化学気相成長法 |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
JPH05226480A (ja) | 1991-12-04 | 1993-09-03 | Nec Corp | 半導体装置の製造方法 |
US5290382A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
JP2773530B2 (ja) | 1992-04-15 | 1998-07-09 | 日本電気株式会社 | 半導体装置の製造方法 |
JP2792335B2 (ja) | 1992-05-27 | 1998-09-03 | 日本電気株式会社 | 半導体装置の製造方法 |
US5252178A (en) | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP3688726B2 (ja) | 1992-07-17 | 2005-08-31 | 株式会社東芝 | 半導体装置の製造方法 |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5756402A (en) | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5624582A (en) | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5302233A (en) | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
US5416048A (en) | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
JPH07161703A (ja) | 1993-12-03 | 1995-06-23 | Ricoh Co Ltd | 半導体装置の製造方法 |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
US5563105A (en) | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US6039851A (en) | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
US5571577A (en) | 1995-04-07 | 1996-11-05 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
US20010028922A1 (en) | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
US6228751B1 (en) | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
JPH09106899A (ja) | 1995-10-11 | 1997-04-22 | Anelva Corp | プラズマcvd装置及び方法並びにドライエッチング装置及び方法 |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
EP0870327B1 (en) | 1995-12-27 | 2002-09-11 | Lam Research Corporation | Method for filling trenches in a semiconductor wafer |
US5679606A (en) * | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5648175A (en) | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US5858876A (en) | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US6170428B1 (en) | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5661093A (en) | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5939831A (en) | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
FR2756663B1 (fr) | 1996-12-04 | 1999-02-26 | Berenguer Marc | Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface |
US5953635A (en) | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US5913140A (en) | 1996-12-23 | 1999-06-15 | Lam Research Corporation | Method for reduction of plasma charging damage during chemical vapor deposition |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6013584A (en) | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6059643A (en) | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
US6030666A (en) | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
US5968610A (en) | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
US6077764A (en) * | 1997-04-21 | 2000-06-20 | Applied Materials, Inc. | Process for depositing high deposition rate halogen-doped silicon oxide layer |
US6204200B1 (en) | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US6189483B1 (en) | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US6136685A (en) | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US5872058A (en) | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6013191A (en) | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
US6413583B1 (en) * | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US5920792A (en) | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6602434B1 (en) | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6395150B1 (en) | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
US6200911B1 (en) * | 1998-04-21 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
KR100296137B1 (ko) | 1998-06-16 | 2001-08-07 | 박종섭 | 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법 |
US6037018A (en) | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6203863B1 (en) | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6197705B1 (en) | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6258408B1 (en) * | 1999-07-06 | 2001-07-10 | Arun Madan | Semiconductor vacuum deposition system and method having a reel-to-reel substrate cassette |
US6495208B1 (en) * | 1999-09-09 | 2002-12-17 | Virginia Tech Intellectual Properties, Inc. | Near-room temperature CVD synthesis of organic polymer/oxide dielectric nanocomposites |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6197691B1 (en) | 1999-11-15 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Shallow trench isolation process |
US6461980B1 (en) * | 2000-01-28 | 2002-10-08 | Applied Materials, Inc. | Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber |
US6335288B1 (en) * | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
JP2002208629A (ja) * | 2000-11-09 | 2002-07-26 | Toshiba Corp | 半導体装置、及び、半導体装置の製造方法 |
US6797646B2 (en) * | 2001-01-12 | 2004-09-28 | Applied Materials Inc. | Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6846745B1 (en) | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
US6677247B2 (en) * | 2002-01-07 | 2004-01-13 | Applied Materials Inc. | Method of increasing the etch selectivity of a contact sidewall to a preclean etchant |
US6869880B2 (en) | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6908862B2 (en) | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
US6802944B2 (en) * | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US6903031B2 (en) * | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
TWI250579B (en) * | 2003-12-22 | 2006-03-01 | Hynix Semiconductor Inc | Method for fabricating semiconductor device |
WO2006011196A1 (ja) * | 2004-07-27 | 2006-02-02 | Fujitsu Limited | 半導体装置とその製造方法 |
JP4984558B2 (ja) * | 2006-02-08 | 2012-07-25 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
-
2006
- 2006-10-27 US US11/553,772 patent/US7524750B2/en not_active Expired - Fee Related
-
2007
- 2007-04-02 SG SG200702447-4A patent/SG136873A1/en unknown
- 2007-04-03 TW TW096111859A patent/TW200802605A/zh unknown
- 2007-04-17 CN CNB2007100902636A patent/CN100539039C/zh not_active Expired - Fee Related
- 2007-04-17 JP JP2007108385A patent/JP5405004B2/ja not_active Expired - Fee Related
- 2007-04-17 KR KR1020070037320A patent/KR100880967B1/ko not_active Expired - Fee Related
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20180030237A (ko) * | 2010-03-31 | 2018-03-21 | 램 리써치 코포레이션 | 실리콘 식각을 위한 무기의 급속 교번 프로세스 |
KR20130129146A (ko) * | 2012-05-18 | 2013-11-27 | 노벨러스 시스템즈, 인코포레이티드 | 탄소 성막-에칭-애싱 갭 충전 프로세스 |
US11049716B2 (en) | 2015-04-21 | 2021-06-29 | Lam Research Corporation | Gap fill using carbon-based films |
KR101878665B1 (ko) * | 2016-05-26 | 2018-07-18 | 참엔지니어링(주) | 기판 처리 방법 |
KR20200020612A (ko) * | 2018-08-17 | 2020-02-26 | 도쿄엘렉트론가부시키가이샤 | 성막 방법 및 성막 장치 |
US11658028B2 (en) | 2018-08-17 | 2023-05-23 | Tokyo Electron Limited | Film forming method and film forming apparatus |
Also Published As
Publication number | Publication date |
---|---|
JP2007305981A (ja) | 2007-11-22 |
CN101079379A (zh) | 2007-11-28 |
KR100880967B1 (ko) | 2009-02-03 |
CN100539039C (zh) | 2009-09-09 |
SG136873A1 (en) | 2007-11-29 |
JP5405004B2 (ja) | 2014-02-05 |
US20070243693A1 (en) | 2007-10-18 |
TW200802605A (en) | 2008-01-01 |
US7524750B2 (en) | 2009-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100880967B1 (ko) | Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm) | |
US7972968B2 (en) | High density plasma gapfill deposition-etch-deposition process etchant | |
KR101027265B1 (ko) | Hdp-cvd 다단계 갭충진 프로세스 | |
US7097886B2 (en) | Deposition process for high aspect ratio trenches | |
US6908862B2 (en) | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features | |
EP1182273B1 (en) | Gas chemistry cycling to achieve high aspect ratio gapfill with hdp-cvd | |
US6808748B2 (en) | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology | |
US6802944B2 (en) | High density plasma CVD process for gapfill into high aspect ratio features | |
JP5225081B2 (ja) | 堆積・エッチングシーケンスを用いたギャップ充填 | |
KR20150103227A (ko) | 고밀도 플라즈마를 구현하는 실리콘 질화물 갭필 | |
US20080142483A1 (en) | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills | |
KR101035775B1 (ko) | Hdp 프로세스에서 에칭률 변화를 감소시키는 방법 | |
EP1158071A2 (en) | Method for depositing a layer on a surface of a substrate | |
KR101289795B1 (ko) | 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들 | |
US7064077B2 (en) | Method for high aspect ratio HDP CVD gapfill | |
CN101414551B (zh) | 高密度等离子体工艺中蚀刻速率偏移的减小 | |
TW201308431A (zh) | Psg間隙填充所用之整合製程調整 | |
KR20100043037A (ko) | Hdp-cvd 통합 프로세스 조절 sio2 프로세스의 갭필 확장 | |
JP2002353215A (ja) | Hdpcvd処理によるトレンチ充填 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20070417 |
|
PA0201 | Request for examination | ||
PG1501 | Laying open of application | ||
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20080529 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20081125 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20090121 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20090121 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
PR1001 | Payment of annual fee |
Payment date: 20111228 Start annual number: 4 End annual number: 4 |
|
FPAY | Annual fee payment |
Payment date: 20121227 Year of fee payment: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20121227 Start annual number: 5 End annual number: 5 |
|
FPAY | Annual fee payment |
Payment date: 20131227 Year of fee payment: 6 |
|
PR1001 | Payment of annual fee |
Payment date: 20131227 Start annual number: 6 End annual number: 6 |
|
LAPS | Lapse due to unpaid annual fee | ||
PC1903 | Unpaid annual fee |
Termination category: Default of registration fee Termination date: 20151209 |