KR100880967B1 - Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm) - Google Patents

Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm) Download PDF

Info

Publication number
KR100880967B1
KR100880967B1 KR1020070037320A KR20070037320A KR100880967B1 KR 100880967 B1 KR100880967 B1 KR 100880967B1 KR 1020070037320 A KR1020070037320 A KR 1020070037320A KR 20070037320 A KR20070037320 A KR 20070037320A KR 100880967 B1 KR100880967 B1 KR 100880967B1
Authority
KR
South Korea
Prior art keywords
deposition
etching
layer
substrate
trench
Prior art date
Application number
KR1020070037320A
Other languages
English (en)
Other versions
KR20070102960A (ko
Inventor
스리니바스 디. 네마니
영 에스. 리
엘리 와이. 이쓰
안츄안 왕
자슨 토마스 블로킹
룽-티안 한
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070102960A publication Critical patent/KR20070102960A/ko
Application granted granted Critical
Publication of KR100880967B1 publication Critical patent/KR100880967B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

공정 챔버 내에 배치되는 기판 상에 실리콘 산화물 필름을 증착하기 위한 공정이 제공된다. 공정 가스는 할로겐 소오스, 유동성 가스, 실리콘 소오스를 포함하며, 산화 가스 반응물은 공정 챔버 내측으로 유동된다. 적어도 1011 이온/㎤의 이온 밀도를 가지는 플라즈마가 공정 가스로부터 형성된다. 실리콘 산화물 필름은 1.0% 미만의 할로겐 농도를 이용하여 기판 위에 증착된다. 실리콘 산화물 필름은 동시 증착 및 스퍼터링 구성을 가지는 공정으로 플라즈마를 이용하여 증착된다. 할로겐 소오스 대 공정 챔버의 유동률 대 실리콘 소오스 대 공정 챔버의 유동률은 실질적으로 0.5 내지 3.0이다.

Description

HDP-CVD를 이용하는 갭필에 대한 신규한 해결법, 집적 공정 모듈레이션(IPM) {INTEGRATED PROCESS MODULATION(IPM) A NOVEL SOLUTION FOR GAPFILL WITH HDP-CVD}
도 1a 내지 도 1c는 고종횡비 갭필 응용분야에서의 증착의 여러 단계에서 HDP-CVD 실리콘 산화물 필름의 간략 단면도.
도 2a는 본 발명의 실시예가 이용될 수 있는 예시적 기판 처리 시스템의 간략 단면도.
도 2b는 도 2a의 예시적 CVD 처리 챔버와 관련되어 이용될 수 있는 가스 링의 간략 단면도.
도 3은 고종횡비 피쳐가 본 발명의 실시예에 따른 공정을 이용하여 어떻게 충진될 수 있는지 도시하고 있는 개략적 도면.
도 4는 본 발명의 일 실시예에 따라서 실리콘 산화물 필름의 저온 증착 및 식각의 반복 주기와 관련된 여러 단계를 도시하고 있는 흐름도.
※ 도면의 주요 부분에 대한 도면 부호의 설명 ※
13: 챔버 18: 기판 지지부
33: 가스 전달 시스템 50: 원격 플라즈마 세정 시스템
70 :진공 스템 80A: 소오스 플라즈마 시스템
80B: 바이어스 플라즈마 시스템
관련 특허출원과의 상호 인용
본 출원은 "HDP-CVD-S를 이용하여 (90 NM 보다 작은) 좁은 갭을 충진하기 위한 신규한 해결법, 집적 공정 변조(INTEGRATED PROCESS MODULATION(IPM) A NOVEL SOLUTION FOR FILLING NARROW GAPS(<90 NM) WITH HDP-CVD-S)"의 명칭으로 2006년 4월 17일자 출원된 미국 가특허 출원 제 60/792,743 호를 우선권으로 주장하며, 본 출원은 모든 목적을 본 명세서에 참조하여 기재하고 있다.
현대 반도체 소자의 제조에 있어서 주요 단계들 중 하나의 단계는 반도체 기판 상에 실리콘 산화물과 같은 필름의 형성이다. 실리콘 산화물은 반도체 소자의 제조에 있어서 절연 층으로서 광범위하게 이용된다. 이는 잘 공지되어 있기 때문에, 실리콘 산화물 필름은 열 화학 증착(CVD) 또는 플라즈마 화학 증착 공정 등에 의해 증착될 수 있다. 종래의 열 CVD 공정에서, 반응 가스는 기판 상에 공급되어, 상기 기판 상에서, 원하는 필름을 제조하기 위해서 (동질 또는 이질의)열-유도 화학 반응이 일어난다. 종래의 플라즈마 공정에서, 제어되는 플라즈마는 원하는 필름을 제조하도록 반응 종을 분해 및/또는 활성화시키도록 형성된다.
반도체 소자 기하학적 형상은 이러한 소자가 몇십 년 전에 처음 도입된 이후로 크기가 급격히 감소하고 있다. 보다 작은 피쳐 크기는 일부 응용분야에 있어서, 예를 들어, 인접한 전도성 라인들 사이 또는 식각된 트렌치 내에서 증가된 종횡비의 갭을 야기한다. 갭의 종횡비는 갭의 폭에 대한 갭의 높이 또는 깊이의 비율에 의해 형성된다. 종래의 CVD 방법을 이용하여 이러한 공간을 충진하는 것은 어렵다. 이러한 갭을 완전히 충진하는 필름의 성능은 필름의 "갭필링(gapfilling)" 성능으로서 언급된다. 실리콘 산화물은 금속간 유전체(IMD) 응용분야, 프리메탈 유전체(premetal dielectric)(PMD) 응용분야 및 쉘로우 트렌치 분리(shallow trench isolation; STI) 응용분야 등에서 갭을 충진하는데 일반적으로 이용된다. 이러한 실리콘 산화물 필름은 종종 갭필 필름 또는 갭필 층으로서 언급된다.
일부 집적 회로 제조업자는 실리콘 산화물 갭필 층을 증착하기 위해서 고밀도 플라즈마 CVD(HDP-CVD) 시스템 이용에 집중해왔다. HDP-CVD 시스템은 표준, 용량성-결합 플라즈마 CVD 시스템의 밀도 보다 높거나 대략 10의 2승인 플라즈마를 형성한다. HDP-CVD 시스템의 예는 유도-결합 플라즈마 시스템 및 전자 사이클로트론 공진(ECR) 플라즈마 시스템 등을 포함한다. HDP-CVD 시스템은 일반적으로 저밀도 플라즈마 시스템 보다 낮은 압력 범위에서 작동한다. HDP-CVD 시스템에 사용되는 저 챔버 압력은 긴 평균-자유-경로 및 감소된 각 분포(angular distribution)를 가지는 활성 종을 제공한다. 플라즈마 밀도와 조합되어, 이러한 인자들은 플라즈마로부터 상당량의 구성물이 밀접하게 이격된 갭의 가장 깊은 부분까지 도달하는데 기여하며, 저밀도 플라즈마 CVD 시스템 내에 증착되는 필름에 비해 개선된 갭필 성능을 가지는 필름을 제공한다.
HDP-CVD 기술에 의해 증착되는 필름이 다른 CVD 기술에 의해 증착되는 필름에 비해 개선된 갭필 특성을 갖게 하는 다른 인자는 필름 증착과 동시에, 고밀도 플라즈마에 의해 촉진되는 스퍼터링의 발생이다. HDP 증착의 스퍼터링 요소는 상승된 표면의 코너와 같은 특정 피쳐 상에서의 증착을 늦추어, HDP 증착되는 필름의 증가된 갭필 성능에 기여한다. 일부 HDP-CVD 시스템은 스퍼터링 효과를 더욱 촉진시키기 위해서 아르곤 또는 유사한 중질(heavy) 불활성 가스를 도입한다. 이러한 HDP-CVD 시스템은 통상적으로, 전기장의 기판 지지 받침대 내에 전극을 사용하여, 전기 장의 생성이 기판을 향하여 플라즈마에 바이어스를 인가할 수 있게 한다. 전기장은 HDP 증착 공정을 통해 적용될 수 있어서 스퍼터링을 발생시켜 소정의 필름에 있어서 보다 나은 갭필 특성을 제공한다. 일반적으로, 실리콘 산화물 필름을 증착시키는데 이용되는 하나의 HDP-CVD 공정은 실란(SiH4), 분자 산소(O2) 및 아르곤(Ar)을 포함하는 공정 가스로부터 플라즈마를 형성한다.
그러나, 스퍼터링과 관련된 한계는 스퍼터링되는 재료의 각도 재분배이다. 예를 들어, STI 갭필에서, 스퍼터링되는 SiO2는 트렌치 위에서부터 스퍼터링될 수 있으며, 트렌치의 측면 상에 증착될 수 있어서 과도한 축적(buildup)을 야기하여, 상향식(bottom-up) 갭필이 달성되는 개구를 제한한다. 너무 과도하게 재-증착된다면, 트렌치는 바닥이 충진되기 전에 밀폐될 수 있어서, 트렌치 내에 매장되는 공극을 남긴다.
도 1a는 기판 위에 형성되는 두 개의 인접한 피쳐(122, 124)에 의해 형성되 는 갭(120)을 구비한 기판(도시되지 않음) 위에서의 필름 증착의 초기 단계를 도시하고 있다. 도 1a에 도시된 바와 같은, 종래의 HDP-CVD 실리콘 산화물 증착 공정은 피쳐(122, 124) 위의 수평 표면(128) 및 갭(120) 내의 수평 표면(126) 상에 직접 산화물 증착을 야기한다. 본 공정은 성장됨에 따라 실리콘 산화물 필름으로부터 스퍼터링되는 재료의 재결합으로 인해 측벽(130) 상에 실리콘 산화물의 간접 증착(재-증착으로서 언급됨)을 야기하기도 한다. 일정한 소-폭, 고-종횡-비 응용분야에서, 실리콘 산화물 필름의 계속되는 성장은 상부 갭 측벽 상에 형성물(132)을 야기하며, 필름이 측벽의 하부 부분(134) 상에 측면으로 성장되는 비율을 초과하는 성장 비율로 서로를 향해 성장된다(도 1b도 참조). 이러한 공정의 최종 결과는 도 1c에 도시된 바와 같이 공극(136)이 형성된다는 것이다.
트렌치가 점점 좁아짐에 따라, 심지어는 보다 가벼운 원자에서도, 상향식 충진이 완성되기 전에 트렌치가 밀폐될 위험이 점점 커진다. He 공정은 110nm에 이르는 갭필 해결법을 제공할 수 있으며, H2 공정은 65 nm에 이르는 HDP-CVD로 연장된다. 스핀-온 유전체 및 ALD(원자 층 증착) 필름은 HDP-CVD로 충진할 수 있는 것보다 더 좁은 구조에 이르는 갭필 성능을 보이지만, 고객은 필름 수축 및 신뢰성과 같은 다른 이유로 인해 전환하기를 다소 꺼릴 수 있다.
트렌치의 최상부를 개방 상태로 유지하며, 상향식 충진이 완성되게 하는 다른 방법은 모든 목적을 본 명세서에 참조하여 기재하고 있는, 미국 특허 제 6,908,862 호에 기재된 바와 같이, 트렌치가 거의 밀폐되는 공정의 지점에서 건조 NF3-계 플라즈마 식각을 이용하는 것이다. NF3는 플라즈마 내에서 분리되어 반응성 플루오르 라디칼을 형성한다. 이러한 라디칼은:
4F·+SiO 2 (s)SiF 4 (g) ↑ +O 2 (g)에 따라서, 증착되는 필름 내의 Si-O 결합을 깨고 SiF4, 휘발 종을 형성한다.
SiF4는 과도한 O2와 함께 외부로 펌핑되어, 증착되는 필름을 제거하고 트렌치를 개방시킨다. 이러한 증착-식각-증착("DED") 공정은 갭필의 관점에서 작지만 상당한 마진을 최초 공정에 제공한다.
일반적으로, 종래의 DED 공정에서, 각각의 증착 단계는 증착 단계 전에 기판의 특성을 위해 최적화된 여러 공정 조건을 이용한다. 예를 들어, 초기 증착 단계 "증착1"은 상대적으로 얇은 층을 증착시킬 수 있으며, 후속 식각 단계 "식각1"로부터 트렌치의 측벽을 보호하도록 의도된다. 제 2 증착 단계 "증착2"는 통상적으로 상대적으로 보다 두꺼운 층의 증착을 포함한다.
DED가 다른 증착 및 식각 단계를 더 포함한다면, 각각의 개별 증착 단계(증착1, 증착2, 제 3 증착 "증착3", 등) 및 각각의 개별 식각 단계(식각1, 제 2 식각 "식각2", 등)는 통상적으로 이러한 단계를 위해 특히 최적화된 상태로 디자인된다. 예를 들어, 증착2는 통상적으로, 식각1의 완성에서 층의 프로파일을 기초로하여 최적화되며, 증착3은 식각2, 등의 완성에서 층의 프로파일을 기초로하여 최적화된다.
각각의 증착 및 식각 단계를 위해 별도로 조절되는 공정을 이용하는 종래의 DED 공정의 단점은 일반적으로, 제조에 있어서 식각 단계를 별도로 합격을 평가(quilify)할 필요가 있다는 점이다. 다시 말해, 생산 제조 라인 내에 DED 공정을 설치하기 전에, 증착1의 합격을 평가하기 위해 다수의 기판이 일반적으로, 증착1에서 처리되며, 식각1, 등의 합격을 평가하기 위해 다수의 기판이 일반적으로, 식각1에서 처리된다.
따라서, 필요한 것은 종래의 DED 공정의 단점을 극복하여 실리콘 산화물 필름을 증착하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 실시예는 개선된 갭필 성능을 가지는 실리콘 산화물 필름을 증착하기 위한 방법 및 장치에 관한 것이다. 본 발명의 방법 실시예는 HDP-CVD 증착 기술을 이용하여 이러한 필름을 증착시키며, 0.10 미크론 이하의 최소 피쳐 크기를 가지는 집적 회로의 제조에서의 프리-메탈 유전체 및 쉘로우 트렌치 분리 응용분야에 있어 특히 유용할 수 있다. 본원에 이용되는 바와 같이, 고밀도 플라즈마는 적어도 1×1011 이온/㎤의 이온 밀도를 가지는 플라즈마이다.
본원에 기재된 공정은 식각이 수반되는 저온(400℃ 미만) 증착의 순차적인 교대 작업을 포함한다. 각각의 저온 증착은 식각이 수반되어 예를 들어, STI 응용분야에 있어서, 트렌치의 개구를 개방상태로 유지시키며, 상향식 갭필이 실질적으로 공극 없이 완성되도록 진행된다. 바닥 충진을 개선하기 위해서 400℃ 보다 높은 증착 온도를 이용하는 통상적인 DED 공정과 대조를 이루어, 본원에 더 기재되는 공정은 400℃ 미만, 심지어 실온(또는 그보다 낮은 온도)만큼 낮은 증착 온도를 수반한다. 이러한 공정은 소프트웨어 제어하에서, 실질적으로 동일한 증착 및 식각 공정의 미리결정된 수의 주기(cycle) 반복을 수반한다.
따라서, 일 양상에서, 기판 상에 형성되는 프리-메탈 증착 트렌치를 충진시키기 위한 방법은 고밀도 CVD 챔버 내에서 400 ℃ 미만으로 냉각된 기판 상에 층을 증착시키는 단계, 및 챔버 내의 기판 상에 증착되는 층의 일부분을 식각하는 단계를 포함하는 주기를 실행하는 단계를 포함한다. 본 방법은 미리결정된 수의 주기 동안 층을 증착하고 층의 일부분을 식각하는 주기를 반복하는 단계를 포함한다. 몇몇 실시예에서, 미리결정된 수의 주기는 4 이상의 주기를 갖는다.
다양한 선택적 실시예에서, 상기 방법은 기판에 열적으로 연결되는 플랫폼을 냉각시키기 위해서 후방 냉각 가스를 유동시키는 단계를 포함할 수 있다. 층을 증착시키는 단계는 증착 가스 및 스퍼터링 가스를 포함하는 플라즈마를 발생시키는 단계를 포함하며, 여기서 증착 대 스퍼터링의 비율은 10:1 내지 60:1의 범위를 갖는다. 몇몇 실시예에서, 식각은 기판을 증착 온도보다 높은 온도로 가열하는 단계를 포함할 수 있으며, 이방성 식각 및 등방성 식각의 다단계 식각을 포함할 수 있다. 몇몇 실시예에서, 초기 주기에서의 증착되는 층의 일부를 식각하는 단계는 종점(end point) 기술에 의해 정지될 수 있으며, 후속 주기에서의 증착되는 층의 일부를 식각하는 단계는 시간이 지남에 따라서 정지될 수 있다.
본 발명의 방법은 400 ℃ 미만으로 기판을 냉각시켜 층을 증착시키는 단계, 및 미리 결정된 수의 주기로 증착-식각 주기를 반복한 후에, 층 내의 수분을 감소시키기 위해서 기판을 가열하는 단계를 선택적으로 포함할 수 있다. 몇몇 실시예에서, 본 발명의 방법은 증착-식각 주기를 착수하기 전에, 층의 일부분을 식각하는데 이용되는 식각 가스에 의한 챔버의 침식을 방지하도록 실리콘-부화 증착으로 챔버를 시즈닝(seasoning)하는 단계(예를 들어, 단일 층의 증착 두께 보다 4 내지 20 배 두꺼운 챔버 내의 증착으로 시즈닝하는 단계)를 포함할 수 있다.
몇몇 실시예에서, 층을 증착하는 단계는 약 4000 Å 보다 큰 깊이를 가지는 갭 내측으로 각각의 주기에서 약 1000 Å 미만으로 증착하는 것을 포함할 수 있다. 예를 들어, 일 실시예에서, 각각의 후속 증착-식각 주기는 높이가 약 4000 Å 보다 큰 갭의 바닥에 700 Å 미만의 증착을 야기한다. 각각의 증착-식각 주기는 실질적으로, 초기 주기에 이용되는 공정 조건과 동일한 공정 조건을 이용할 수 있다(예를 들어, 공정 조건은 초기 주기에 이용되는 공정 조건으로부터 10 % 를 초과하여 변할 수 없다). 이와 달리, 각각의 증착-식각 주기는 실질적으로 기판 상에 동일한 증착 및 식각 결과를 제공할 수 있다(예를 들어, 각각의 주기에서의 증착되는 층의 두께는 다른 주기에서 증착되는 두께로부터 +/- 10% 이하로 변할 수 있으며, 각각의 주기에서 제거되는 재료의 양은 다른 주기에서 제거되는 양으로부터 +/- 10% 이하로 변할 수 있다). 증착-식각 주기는 갭을 충진하기 위해서 미리결정된 횟수(예를 들어 4 배 이상)로 반복될 수 있다.
도입
본 발명의 실시예는 고-밀도-플라즈마 화학-증착("HDP-CVD") 방법 응용분야, 예를 들어, 쉘로우 트렌치 분리("STI") 응용분야에서의 증착 층에 관한 것이다. 본 발명의 실시예는 작은 피쳐 크기(90nm 이하)를 가지는 집적 회로에 있어서 실질적으로 100% 갭필로 재료를 증착시킨다. 상향식 갭필은 고종횡비를 가지는 매우 진보적인 트렌치 내부에서 달성될 수 있다.
본 발명의 실시예는 챔버를 포함하는 다수의 고밀도 플라즈마 CVD 기판 처리 챔버를 이용하여 실행될 수 있으며, 상기 챔버 내에서 플라즈마는 챔버의 일부분을 적어도 부분적으로 에워싸는 코일에 RF 에너지를 인가함으로써 형성되며, ECR 플라즈마 형성 기술을 이용한다. 본 발명의 방법 실시예가 실행될 수 있는 유도 결합식 HDP-CVD 챔버의 예가 하기에 설명된다.
예시적 기판 처리 시스템
도 2a는 본 발명에 따라 유전체 층이 증착될 수 있는 고밀도 플라즈마 화학 증착(HDP-CVD) 시스템(10)의 일 실시예를 도시하고 있다. 시스템(10)은 챔버(13), 기판 지지부(18), 가스 전달 시스템(33), 원격 플라즈마 세정 시스템(50), 진공 지스템(70), 소오스 플라즈마 시스템(80A), 바이어스 플라즈마 시스템(80B)를 포함한다.
챔버(13)의 상부 부분은 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 유전체 재료로 형성되는 돔(14)을 포함한다. 돔(14)은 플라즈마 처리 영역(16)의 상부 경계를 형성한다. 플라즈마 처리 영역(16)은 알루미늄 산화물 또는 알루미늄 세라믹 재료로도 형성될 수도 있는, 기판 지지부(18) 및 기판(17)의 상부 표면에 의해 바닥에 접하게 된다.
가열 판(23) 및 냉각 판(24)은 돔(14) 위에 놓여 열적으로 연결된다. 가열 판(23) 및 냉각 판(24)은 돔 온도를 약 100 ℃ 내지 200 ℃의 범위를 넘어 약 ±10 ℃ 내에서 제어한다. 일반적으로, 플라즈마에 대한 노출은 기판 지지부(18) 상에 위치되는 기판을 가열한다. 기판 지지부(18)는 열 수송 가스(종종 후방 냉각 가스로 언급됨)를 기판의 후방에 전달할 수 있는 내외부 통로(도시되지 않음)를 포함한다. 하기에 더 기재되는 바와 같이, 후방 냉각 가스는 기판을 400℃ 미만의 온도로 상당히 냉각시킬 수 있다.
챔버(13)의 하부 부분은 챔버를 진공 시스템에 연결하는 본체 부재(22)를 포함한다. 기판 지지부(18)의 베이스 부분(21)이 장착되며 본체 부재(22)를 갖춘 연속 내부 표면을 형성한다. 기판은 챔버(13) 내의 삽입/제거 개구(도시되지 않음)를 통해 로봇 블레이드(도시되지 않음)에 의해 챔버(13) 내외측으로 이송된다. 리프트 핀(도시되지 않음)은 로봇 블레이드로부터 기판을 상부 로딩 위치(57)에서 기판 지지부(18)의 기판 수용 부분(19) 상에 놓이는 하부 처리 위치(56)로 이동시키기 위해서 모터(또한 도시되지 않음)의 제어하에서 상승 및 하강한다. 기판 수용 부분(19)은 기판 처리 중에 기판을 기판 지지부에 고정시키는데 이용될 수 있는 정전 척(20)을 포함한다.
진공 시스템(70)은 스로틀 본체(25)를 포함하며, 상기 본체는 이중 블레이드 스로틀 밸브(26)를 수용하며, 게이트 밸브(27) 및 터보-분자 펌프(28)에 부착된다. 게이트 밸브(27)는 스로틀 밸브(25)로부터 펌프(28)를 격리시킬 수 있으며, 스로틀 밸브(26)가 완전히 개방될 때 배기 유동 성능을 제한함으로써 챔버 압력을 제어할 수도 있다. 스로틀 밸브, 게이트 밸브, 및 터보-분자 펌프의 구성은 약 1 mTorr 만큼 낮게 챔버 압력의 정확하고 안정된 제어를 허용한다.
소오스 플라즈마 시스템(80A)은 돔(14) 상에 장착되는 측면 코일(30) 및 최상부 코일(29)에 연결된다. 대칭 접지부(symmetrical ground shield; 도시되지 않음)는 코일들 사이에 전기적 결합을 감소시킨다. 최상부 코일(29)은 최상부 소오스 RF(SRF) 발생기(31A)에 의해 동력이 공급되는 반면에, 측면 코일(30)은 측면 SRF 발생기(31B)에 의해 동력이 공급되어, 각각의 코일에 있어서 작동 주파수 및 독립적 전력 레벨을 허용한다. 특정 실시예에서, 최상부 소오스 RF 발생기(31A)는 명목상 2 MHz에서, 최고 10,000 와트까지의 RF 전력을 제공하며, 측면 공급 RF 발생기(31B)는 명목상 2 MHz에서, 최고 10,000 와트까지의 RF 전력을 제공한다. 최상부 및 측면 RF 발생기의 작동 주파수는 명목상 작동 주파수(예를 들어, 각각, 1.7 내지 1.9 MHz 및 1.9 내지 2.1 MHz)로부터 상쇄될 수 있어서 플라즈마 발생 효율을 개선한다.
바이어스 플라즈마 시스템(80B)은 바이어스 RF(BRF) 발생기(31C) 및 바이어스 매칭 네트워크(bias matching network; 32C)를 포함한다. 바이어스 플라즈마 시스템(80B)은 상보성 전극으로서 작용하며, 본체 부재(22)에 기판 부분(17)을 용량성으로 연결한다. 바이어스 플라즈마 시스템(80B)은 소오스 플라즈마 시스템(80A)에 의해 생성되는 플라즈마 종(예를 들어, 이온)의 기판의 표면으로의 이송을 강화시키는데 도움이된다. 특정 실시예에서, 바이어스 RF 발생기는 13.56 MHz에서 최고 10,000 와트까지의 RF 전력을 제공한다.
RF 발생기(31A, 31B)는 디지털 제어 가변 발전기(digitally controlled synthesizers)를 포함하며, 약 1.8 내지 약 2.1 MHz 사이의 주파수 범위를 넘어서 작동한다. 각각의 발전기는 RF 제어 회로(도시되지 않음)를 포함하며, 상기 회로는 당업자에 의해 이해되는 바와 같이, 발생기로 되돌아가는 챔버 및 코일로부터 반사 전력을 측정하여 , 가장 낮은 반사 전력을 달성하기 위해서 작동 주파수를 조절한다. 매칭 네트워크(32A, 32B)는 각각의 코일(29, 30)을 이용하여 발생기(31A, 31B)의 출력 임피던스를 조절한다. RF 제어 회로는 발생기를 로드 변화만큼의 로드로 맞추기 위해서 매칭 네트워크 내에서 축전기의 값을 변화시킴으로써 두 개의 매칭 네트워크를 조절할 수 있다. RF 제어 회로는 발생기로 되돌아가는 로드로부터 반사되는 전력이 특정 한계를 초과할 때 매칭 네트워크를 조절할 수 있다. 일정한 매칭을 제공하며, RF 제어 회로가 매칭 네트워크를 효율적으로 조절하지 못하게 하는 하나의 방법은 반사 전력의 임의의 기댓값을 넘어서 반사 전력 한계를 설정하는 것이다. 이는 가장 최근 상태에서 매칭 네트워크 상수를 유지함으로써 일부 상태하에서 플라즈마를 안정화시킬 수 있다.
가스 전달 시스템(33)은 가스 전달 라인(38)(일부만 도시됨)을 통해 몇몇의 공급원(34a)...(34n)으로부터 가스를 제공한다. 하기에 기재된 특정 예에서, 가스 공급원(34a)...(34n)은 SiH4, O2, Ar 및 NF3을 위한 별도의 공급원뿐만 아니라 연장되는 세정 공정을 위한 하나 이상의 공급원을 포함한다. 당업자에 의해 잘 이해될 수 있는 바와 같이, 가스 공급원(34a)...(34n)을 위해 이용되는 실제 공급원 및 챔버(13)로의 전달 라인(38)의 실제 연결은 챔버(13) 내에서 실행되는 증착 및 세정 공정에 따라 달라진다. 각각의 가스 공급원(34a)...(34n)으로부터의 가스 유동은 당업자에 의해 공지되는 바와 같이 하나 이상의 유동 제어기(도시되지 않음)에 의해 제어된다.
가스는 가스 링(37) 및/또는 최상부 노즐(45)을 통해 챔버(13) 내측으로 도입된다. 도 2b는 가스 링(37)을 부가적으로 상세하게 도시한 챔버(13)의 간략 부분 단면도이다. 몇몇 실시예에서, 하나 이상의 가스 공급원은 가스 전달 라인(38)(일부만 도시됨)을 통해 가스 링(37) 내의 링 플레넘(36)에 가스를 제공한다. 가스 링(37)은 기판 위에서 균일한 유동의 가스를 제공하는 복수의 가스 노즐(39)(도시의 목적을 위해 일부만 도시됨)을 갖고 있다. 노즐 길이 및 노즐 각도는 개개의 챔버 내에서 특정 공정을 위한 가스 유용 효율 및 균일한 프로파일의 조정(tailoring)을 허용하도록 변경될 수 있다. 하나의 특정 실시예에서, 가스 링(37)은 알루미늄 산화물 세라믹으로 형성되는 24 개의 가스 노즐(39)을 갖고 있다.
가스 링(37)은 특정 실시예에서, 소오스 가스 노즐(39)과 동일 평면이며 소오스 가스 노즐보다 짧고, 일 실시예에서, 본체 플레넘(41)으로부터 가스를 수용하는 복수의 가스 노즐(40)(하나만 도시됨)도 갖는다. 가스 노즐(39, 40)은 가스를 챔버(13)에 주입시키기 전에 가스 링(37)을 통해 도입되는 가스(예를 들어, SiH4, O2)를 혼합하는 것이 바람직하지 않은 몇몇 실시예에서 유체 연결되지 않는다. 다른 실시예에서, 본체 플레넘(41)과 가스 링 플레넘(36) 사이에 구멍(도시되지 않음)을 제공함으로써 챔버(13) 내측으로 가스를 주입시키기 전에 가스는 혼합될 수 있다. "43B"(다른 밸브는 도시되지 않음)와 같은, 추가의 밸브는 유동 제어기로부터 챔버로의 가스를 차단할 수 있다.
가연성, 독성, 또는 부식성 가스가 이용되는 실시예에서, 증착 또는 세정 공정 후에 가스 전달 라인 내에 잔존하는 가스를 제거하는 것이 바람직할 수 있다. 이는 예를 들어, 전달 라인(38)으로부터 챔버(13)를 격리시키기 위해서 그리고 진공 포어라인(foreline;44)으로 전달 라인(38)을 환기시키기 위해서, 밸브(43B)와 같은 3-방향 밸브를 이용하여 달성될 수 있다. 도 2a에 도시된 바와 같이, "43A", "43C"와 같은 다른 유사한 밸브는 다른 가스 전달 라인에 통합될 수 있다. 이러한 3-방향 밸브는 실제로 원격 플라즈마 공급원(50) 및 챔버(13)에 밀접하게 위치될 수 있어서, (3-방향 밸브와 챔버 사이의) 환기되지 않은 가스 전달 라인의 부피를 최소화한다. 부가적으로, 2-방향(온-오프;on-off) 밸브(도시되지 않음)는 가스 공급원과 MFC 사이 또는 질량 유동 제어기("MFC")와 챔버 사이에 위치될 수 있다.
다시, 도 2a와 관련하여, 챔버(13)는 최상부 노즐(45) 및 최상부 배출구(46)도 갖는다. 최상부 노즐(45) 및 최상부 배출구(46)는 필름 균일성을 개선하는 가스의 최상부 및 측면 유동의 독립적 제어를 허용하며 필름의 증착 및 도핑 매개변수의 정확한 조절을 허용한다. 최상부 배출구(46)는 최상부 노즐(45) 둘레의 환형 개구이다. 일 실시예에서, 하나의 소오스, 예를 들어, SiH4는 별도의 MFCs(도시되지 않음)를 통해 소오스 가스 노즐(39) 및 최상부 노즐(45)을 공급한다. 유사하게, 별도의 MFCs는 산소의 단일 공급원으로부터 최상부 배출구(46) 및 가스 노즐 (40)에서 산소를 유동 제어하는데 이용될 수 있다. 최상부 노즐(45) 및 최상부 배출구(46)에 공급되는 가스는 가스를 챔버(13)에 유동시키기 이전에 별도로 유지시킬 수 있거나, 다른 가스는 챔버(13) 내측으로 유동되기 전에 최상부 플레넘(48) 내에서 혼합될 수 있다. 다른 실시예에서, 별도의 동일한 가스 공급원은 챔버의 여러 부분에 공급하는데 이용될 수 있다.
원격 마이크로파-발생 플라즈마 세정 시스템(50)은 건조 세정 작동에서 챔버 구성으로부터 증착 잔여물을 주기적으로 세정하기 위해서 제공된다. 세정 시스템은 반응기 공동(53) 내의 공급원34(a)...34(n)에서 하나 이상의 가스 공급원(예를 들어, 플루오르, 질소, 트리플루오라이드, 다른 플루오르카본 또는 단일 동등물 또는 아르곤과 같은 다른 가스와의 조합물)으로부터 플라즈마를 생성시키는 원격 마이크로파 발생기(51)를 포함한다. 이러한 플라즈마를 야기하는 반응 종은 어플리케이터 관(55)을 통해 세정 가스 공급 포트(54)를 통하여 챔버(13)로 전달된다. 세정 플라즈마를 포함하여 이용되는 재료(예를 들어, 공동(53) 및 어플리케이터 관(55))는 플라즈마에 의한 공격에 내성이 있어야만 한다. 반응기 공동(53) 및 공급 포트(54) 사이의 거리는 실제로 짧게 유지해야하며, 이는 반응기 공동(53)으로부터 멀어지면 원하는 플라즈마 종의 농도가 감소할 수 있기 때문이다. 원격 공동 내의 세정 플라즈마 발생은 효과적인 마이크로파 발생기를 이용할 수 있게 허용하고, 그리고, 원 위치(in situ)에 형성되는 플라즈마 내에 존재할 수 있는 글로우 방전의 충돌, 온도, 또는 방사선에 챔버 부품이 노출되지 않게 허용한다. 결과적으로, 원위치 플라즈마 세정 공정에서 요구되는 바와 같이, 정전 척(20)과 같은 상대적으로 민감한 부품을 더미 웨이퍼(dummy wafer)로 덮거나 기타 방식으로 보호할 필요가 없게 된다.
시스템 제어기(60)는 시스템(10)의 작동을 제어한다. 제어기(60)는 예를 들어, 하드 디스크 드라이브 및/또는 플로피 디스크 드라이브 및 프로세서(61)에 연결되는 카드 랙과 같은, 메모리(62)를 포함할 수 있다. 카드 랙은 단일-보드 컴퓨터(SBC), 아날로그와 디지털 입력/출력 보드, 인터페이스 보드 및 스테퍼 모터 제어기 보드(stepper motor controller boards)를 포함할 수 있다. 시스템 제어기(60)는 하드 디스크 드라이브에 저장된 컴퓨터 프로그램, 또는 이동식 디스크에 저장된 프로그램과 같은 다른 컴퓨터 프로그램의 제어 하에서 작동한다. 컴퓨터 프로그램은 특정 공정의 예를 들어, 시간조절, 가스의 혼합, RF 전력 레벨 및 다른 매개변수를 지시한다.
본 발명의 특정 실시예에 따른 실리콘 산화물 필름의 증착
본원에 더 기재되는 바와 같이, 실리콘 산화물 필름은 매우 진보적인 갭필 응용분야를 위해 증착될 수 있다. 예를 들어, 몇몇의 실시예에서, 실리콘 산화물 필름은 (기판 위의 금속 시그널 라인의 형성 이전에)STI 응용분야를 위해 트렌치의 최상부에서 65 nm 미만의 갭 내에 증착될 수 있다.
전술된 바와 같이, 본 발명의 실시예는 전술된 예시적 챔버(13)와 같은 HDP-CVD 챔버에서 실행될 수 있다. 편의상, 본 발명에 따른 증착 및 식각의 그래픽 개요는 도 3과 관련하여 기재된다.
기판 상의 트렌치(300)의 단면(301)은 초기 상태를 나타내고 있다. 하나 이 상의 "갭" 또는 "트렌치"에서의 증착에 관해 기재되어 있지만, 본 발명은 쉘로우 트렌치 분리에 제한되는 것은 아니며, 기판 위의 사실상 임의의 구조물 상의 증착에 적용될 수 있다. 인접한 피쳐는 유전체 재료로 충진될 트렌치(300)를 형성하며, 상기 트렌치(300)의 측벽은 피쳐의 표면에 의해 형성된다. 기판 내의 트렌치(300)는 실리콘, 예를 들어, 질화물 배리어 층을 갖춘 실리콘을 포함할 수 있다.
단면(302)으로 도시된 바와 같이, 증착이 진행됨에 따라, 유전체 재료는 트렌치(300)의 최고(uppermost)표면의 커스프(cusp; 308)(트렌치(300) 상에 "햇(hats)"을 형성한다고도 한다)상에 축적되고 그리고 트렌치(30)의 바닥 상에 축적된다. 유전체 재료는 커스프(308)로부터 스퍼터링되는 재료의 재-증착으로 인해 트렌치(300)의 코너에서 돌출부(overhangs)를 형성할 수도 있다.
하기에 더 기재되는 바와 같이, 상향식 갭필을 개선하기 위해서 일반적으로 보다 높은 온도를 선호하는 종래의 DED 공정에 비해, 본 발명에 따른 증착은 몇몇 이점을 산출할 수 있는 저온에서 수행된다. 예를 들어, 보다 낮은 증착 온도는 후속 식각이 하부 구조물을 손상시키는 것을 방지하는 개선된 측벽 커버리지를 제공할 수 있다. 또한, 필름 응력은 저온에서 증착함으로써 개선될 수 있다. 종래의 고온 증착은 일반적으로, 필름 내에 압축 응력을 생성하며, IC 공정에 있어서의 응력 한계(통상적으로, 250 MPa)를 초과한다. 반면에, 저온 증착은 상대적으로 낮은 응력(예를 들어, 150-200 MPa)으로 양호한 갭필을 부여할 수 있다.
단면(303)은 증착되는 재료의 종횡비를 감소시키는데 이용되는 식각을 나타내고 있다. 감소한 커스프(310)는 단면(303)을 야기하는 식각 단계 중에 보호된 다. 반응 식각 중에 바이어스를 인가하는 것과 같은 이방성 식각을 수행하는 것은 증착 층을 성형(shape)하여 최초 트렌치(300)의 기본 형상이 유지되는 것을 돕지만, 이는 종횡비가 크지 않고 하부 트렌치(300)의 코너가 노출되지 않는 경우에 그러하다.
상향 아치형 화살표에 의해 도시된 바와 같이, 전술된 증착 및 식각 단계는 트렌치(300)를 충진시키기 위해 미리결정된 횟수로 주기를 형성한다. 주기의 수는 트렌치(300)의 전체 깊이 대 전술된 개별 증착 및 식각 단계의 분석을 기초로하여 결정될 수 있다. 예를 들어, 각각의 주기에서 750 Å의 증착과 250 Å의 식각으로, 각각의 주기에서 500 Å의 증착을 제공하면서 약 7 주기 반복은 깊이가 약 4000 Å인 트렌치를 충진시킬 수 있다. 미리결정된 수의 주기가 완성될 때, 트렌치(300)는 단면(304)로 개략적으로 도시되는 바와 같이, 현저한 공극 없이 유전체 재료(312)로 부분적으로 또는 완전히 충진될 수 있다.
도 4는 본 발명의 일 실시예에 따라서 실리콘 산화물 필름의 저온 증착 및 식각의 반복되는 주기에 대한 방법(400)을 도시하는 흐름도이다. 적용할 수 있는 경우에, 하기에 도시된 참조 번호는 도 2a 내지 도 2b의 예시적 챔버(13)의 적합한 구성을 언급하는데 이용된다. 본 실시예에서, 공정은 시스템 제어기(60)의 메모리(62) 내에 저장된 컴퓨터 프로그램을 이용하여 실행되고 제어된다.
방법(400)은 도 4에 도시된 흐름도로 일 실시예에 대해서 요약된다. 본 방법은 예시적 목적을 위해서이지 본 발명의 청구범위를 제한하려는 것은 아니다. 단계(405)에서, 하나 이상의 준비 단계는 증착 및 식각 공정의 후속 주기를 위해 준비되도록 실행된다. 예를 들어, 단계(405)는 기판을 전달하는 단계를 포함하며, 기판 상부에 실리콘 산화물이 증착 챔버(13) 내측에서 증착되며, 챔버 압력을 안정화시키는 단계, 등과 같은 공정을 포함할 수 있다.
기판 및 챔버(13)가 안정화되면, 초기 증착(407)이 시작된다. 단계(410)에서, 기판 온도는 기판을 400℃ 미만의 증착 온도로 냉각시킴으로써 안정화된다. 보다 낮은 온도 증착은 일반적으로, (보다 높은 온도 증착에 비해) 측벽 상의 커버리지를 개선하여, 후속 식각 단계(435)가 하부 구조물을 손상시키는 것을 방지한다. 몇몇 실시예에서, 필름은 기판을 400℃ 미만, 심지어 290℃ 미만 또는 거의 실온에 가까운 온도로 냉각하여 증착된다. 하기에 언급되는 바와 같이, 기판을 냉각하는 방법은 기판 지지부(18)를 통해 He 후방 냉각 가스 및/또는 냉각 수를 유동시키는 것이다. 또한, 실온 만큼 낮거나 실온 이하의 온도 증착은 하기에 더 기재되는 바와 같이, 응력 변형 공학 응용분야(strain engineering applications)에 있어서 유리할 수 있는 것으로 여겨지고 있다.
단계(415)에서, H2, He, 및 O2와 함께, 실리콘 소오스로서의 SiH4를 포함하는 증착 공정 가스가 공정 챔버(13) 내측으로 유동된다. 여러 전구체 가스에 대한 적합한 유동률은 하기에 기재되는 바와 같이, 특정 응용분야, 기판 상의 특정 구조물, 증착이 수행되는 챔버의 디자인, 및 다른 고려사항에 따라 달라질 수 있다. 실리콘 소오스가 SiH4를 포함하며, 산화 가스 반응물은 O2를 포함하는 일 실시예에서, 적합한 유동률Φ은: SiH4의 경우에, 도 2와 관련하여 기재된 챔버 디자인에 대 한 유동률Φ(SiH4)이 약 15 내지 1000 sccm일 수 있으며: O2의 경우에, 유동률Φ(O2)이 약 25 내지 500 sccm일 수 있으며: He의 경우에, 유동률Φ(He)이 약 200 내지 400 sccm일 수 있으며; 그리고 H2의 경우에, 유동률Φ(H2)이 약 100 내지 200 sccm일 수 있는 범위 내에 있다. 가스 유동 범위는 유동률에 있어서 광범위한 매개변수로 설명되지만, 특정 유동률은 특정 챔버 구성에 따라서, 본 원에 더 기재되는 바와 같이 결정될 수 있다.
몇몇 실시예에서, H2 및 He를 이용하기 보다는, SiH4 및 O2와 함께 H2만이 이용된다. 몇몇 실시예에서, 증착은 Ar, Xe, 또는 다른 불활성 가스를 포함할 수 있지만, 일반적으로 이러한 불활성 가스는 상대적으로 저 품질의 필름을 산출하는, 낮은 바이어스 전력을 상쇄시킬 필요가 있을 수 있는, 상대적으로 높은 스퍼터 성분을 제공한다.
증착 가스 내에 H2 및 He 가스 모두를 제공하는 단계는 증착/스퍼터(D/S) 비율을 제어하기 위한 조절 "노브(knob)"를 제공한다. (D/S) 비율은 바이어스 전력에 의해 좌우되며, 바람직하게는 10:1 내지 60:1의 범위를 갖는다. 예를 들어, 30:1의 D/S 비율은 SiH4가 없는 증착 가스에 의해 야기되는 스퍼터 비율에 대비하여, 모든 증착 가스에 의해 야기되는 증착률을 측정함으로써 결정될 수 있다. 일반적으로, 필름 품질은 높은 D/S 비율로 손해를 입으며, 비바이어스 공정(unbiased process)은 Si-부화 다공 필름(Si-rich porous film)을 부여하는 경향이 있다. 증 착 단계(407)에 있어서, D/S 비율은 예를 들어, 증착 및 식각의 연속 주기로 트렌치(300)의 종횡비를 감소시키는 동일한 시간 동안 질화물 라이너를 보호하는,(도3) 트렌치(300)의 측벽 상에 충분한 커버리지를 주도록 조절될 수 있다.
단계(420)에서, 고밀도 플라즈마는 공정 가스를 이용하여 챔버(13) 내에 형성된다. 몇몇의 실시예에서, 높은 RF 전력(예를 들어, 최상부, 측면 및 바이어스 RF 전력의 총 30 kW까지)이 상향식 커버리지를 개선하는데 이용될 수 있다. 그러나, 높은 총 RF 전력은 기판의 온도를 증가시키는 경향이 있으며, 필름 내에 압축 응력에 도달한다. 따라서, 바람직한 실시예에서, 약 17.5 kW의 총 RF 전력이 300 mm 기판에 대해 인가된다.
단계(425)에서, 필름의 증착은 기판 상에서 발생한다. 트렌치(300)의 측면 상의 커버리지 대 바닥 커버리지의 양은 증착 상태를 위한 하나의 고려사항이다. 일반적으로, 증착 상태는 (도 3)커스프(308) 상의 증착을 최소화시키기 위해서 선택되며, 이는 커스프(308)의 재료가 돌출부의 재진입 성장에 기여할 수 있기 때문이다. 증착 상태는 예를 들어, 트렌치(300) 내의 질화물 라이너를 보호하기 위해서, 측면 상에 충분한 필름을 제공하기 위해서 선택되기도 한다.
하나의 실험에서, 실리콘에 약 90 nm 간격으로 식각되고 약 600 nm의 깊이의 트렌치를 갖는 STI 실험 패턴 웨이퍼를 이용한다. 공간은 ISSG(원위치 증기 발생) 산화물 라이너(~150Å) 및 LPCVD(저-압 화학 증착) 질화물 라이너(~250 Å)의 추가를 통해 더 좁아지게된다. 이러한 실험 패턴 웨이퍼 상에서, 단계(407)에서 증착되는 유전체 재료의 양은 500 내지 750 Å이며, 이러한 양의 약 30 %는 트렌치의 측벽 상에 증착된다. 이러한 증착 두께는 측벽 상의 증착으로 질화물 라이너의 보호와 커스프(308) 상의 최소 증착 사이에 수용가능한 균형을 제공한다. 본 실험에서, 챔버 내의 기판 온도 측정 장비는 290℃ 보다 낮은 온도를 측정할 수 없다. 이러한 경우에, 기판은 290℃ 보다 낮은 온도로 냉각되지만, 기판의 실제 온도는 결정될 수 없다는 것을 알 수 있다.
증착 단계(407)에 이어서, 전이 단계(430)는 후속의 식각 단계(435)를 원활하게 이행하기 위해 선택적으로 포함된다. 일 실시예에서, 전이 단계(430)는 O2 유동률을 램핑 다운(ramps down)시키며, 후속 식각 단계(435)에 이용되는 유동률과 조화시키도록 H2 및 He를 조절한다. 예를 들어, 전이 단계(430)는 챔버 내에 SiH4 없이 챔버 내측으로의 NF3의 도입을 제공하여, 기판 상에 SiF4의 형성을 방지한다.
단계(435)에서, 식각은 고 밀도 플라즈마 식각으로 시작한다. 필름 증착 두께의 약 5 내지 30%가 식각 단계(435) 중에 제거되지만, 제거된 양은 필름의 프로파일에 따라서 여러 지점에서 변할 수 있다. 특정 실시예에서, NF3와 같은 니트로플루오르화 가스 또는 C2F6, C3F8, 또는 CF4와 같은 카르보플르오르화 가스는 공정 챔버 내측으로 유동된다. CF 화학 물질은 일반적으로 실리콘 질화물과 관련하여 실리콘 산화물의 보다 선택적인 식각을 산출한다.
일반적으로, H2 및/또는 He는 식각의 스퍼터 성분을 감소시키기 위해서 식각 단계(435) 중에 첨가될 수 있으며, 전반적인 공정에 있어서 다른 조절 노브를 제공 한다. 통상적인 Ar/NF3 식각 또는 Ar/O2/NF3 식각과 대조를 이루어, 본 발명의 발명자는 플루오르가 큰 스퍼터 성분을 가지는 상대적으로 큰 원자이기 때문에 H2 및/또는 He의 제어된 첨가가 식각 단계(435)의 스퍼터 성분의 감소를 제공함을 결정한다. (상대적으로 보다 높은 농도의 H2 및 N2를 이용하여) 플루오르 농도를 감소시키는 단계는 식각 단계(435)에 보다 많은 스퍼터링 성분을 제공하지만, 플루오르 농도를 증가시키는 단계는 식각 단계(435)에 더 많은 이방성 성분을 제공한다. 몇몇 실시예에서, 식각 단계(435)는 상대적으로 H2를 더 이용하며, 이는 H2가 플루오르의 일부를 소기시키며, 보다 선택적인 식각을 제공하기 때문이다.
웨이퍼 바이어스 전력, 정전 척 전압, 및 RF 전력의 제어는 식각 단계(435)가 등방성이거나 이방성이든지 간에 제어하는데 이용될 수도 있다. 트렌치의 깊이 및 각도에 따라, 보다 큰 또는 보다 작은 스퍼터링(지향성) 식각 대 이방성 식각(덜 지향적)을 제공하도록 식각 공정의 특징들을 조절할 수 있다. 증착 및 식각 성분에 있어서 특정 비율은 트렌치 깊이, 폭, 및 각도를 기초로하여 실험상으로 결정될 수 있다.
식각 단계(435)는 후속 증착 단계(예를 들어, 증착2)에서 기판의 가열을 방지하도록 냉각 단계를 포함할 수 있다. 이러한 냉각은 예를 들어, 기판의 헬륨 후방 냉각 등에 의해 수행될 수 있다. 몇몇의 예에서, 식각은 약 250 ℃ 보다 낮게 기판의 온도를 낮추기 위해서 냉각 단계에 의해 전개될 수도 있으며, 보다 나은 식각 제어를 제공한다.
다른 실시예에서, 식각 단계(435) 중에 기판 냉각이 수행될 수 없어서, 식각 단계(435) 중에 기판이 가열된다. 기판을 식각 단계(435) 중에 가열하는 것은 필름으로부터 플루오르의 제거를 개선하며, 이는 보다 낮은 온도에서 플루오르가 필름 내측으로 보다 쉽게 도입될 수 있기 때문이다. 다시 말하면, 기판이 증착 단계(407) 중에 능동 냉각되고, 기판이 플루오르 제거를 위해서 식각 단계(435) 중에 수동 또는 능동적으로 가열될 수 있으며, 그리고 기판이 후속 증착 단계(예를 들어, 증착 2)에서 다시 냉각될 수 있도록 기판의 온도 프로파일이 존재한다.
식각 단계(435)는 다 단계 식각 공정을 포함할 수 있다. 일반적으로, 식각 단계(435) 중에 동시에 발생하는: 이방성(예를 들어, 플루오르-계) 식각 성분 및 스퍼터링 식각 양상(예를 들어, He에 의해)의 두 개의 공정이 있다. 동시에 발생하는 공정 대신에, 식각 단계(435)는 두 개의 별도 식각 단계로 분리될 수 있으며, 즉 커스프(308)(도 3)의 크기를 감소시키기 위해서 상대적으로 높은 플루오르 농도를 초기에 이용하는 단계와, 식각 균일성을 제공하기 위해서 상대적으로 보다 등방성인 식각 성분으로 분리될 수 있다.
식각 단계(435)(식각 시간)의 지속시간은 일반적으로, 식각률, 가스 유동, 최상부/측면/바닥 전력, 등에 의해 좌우된다. 식각 단계(435)의 지속시간은 식각이 제공하는 이방성 대 등방성 식각 및/또는 기판의 중심 대 엣지에서의 식각률에 따라서 좌우될 수도 있다.
몇몇 실시예에서, 초기 주기에 대한 식각 단계(435)의 종료는 종점 기술에 의해 수행되며, 후속 주기에 대한 식각 단계(435)의 종료는 시간을 기초로 하여 수 행된다. 예를 들어, 초기 주기에서의 식각 단계(435)는 식각 단계가 트렌치(300) 내의 하부 질화물 층을 통해 식각하였을 때를 탐지하는 광 방출 분광기(OES)를 이용하여 종결될 수 있다. OES 종점은 기선 식각 시간(baseline etch time)을 결정하는데 이용된다. 그 후, 증착 및 식각의 후속 주기에서, 식각 단계(435)는 기선 식각 시간 함수를 기초로하여 종결된다.
다시 말하면, 식각 단계(435)(식각 1)의 초기 주기에서, OES 데이터는 하부 질화물 층에 도달하기에 필요한 기선 식각 시간을 결정하는데 이용될 수 있다. 식각 단계(435)(식각 2, 식각 3, 등)의 각각의 후속 주기에 대한 지속시간은 기선 식각 시간, 또는 기선 식각 시간보다는 다소 길거나 짧은 미리결정된 시간과 동일한 시간일 수있다. 예를 들어, 식각 1이 질화물 층 아래로 식각하는데 10초가 걸린다면, 종점에 의해 결정되는 것처럼, 식각 2, 식각 3, 등은 고정된 식각 시간으로서 9초를 이용할 수 있다.
대안적인 실시예에서, 후속 식각 주기의 지속시간은 기선 식각 시간에 있어 기하학적 변형일 수 있다. 예를 들어, 식각 2는 기선 식각 시간 보다는 20 % 미만의 지속시간으로 정해진 식각을 이용할 수 있으며, 식각 3은 제 2 식각, 등보다 20 % 미만의 지속시간으로 정해진 식각을 이용할 수 있다. 기선 식각 시간에 있어서 기하학적 변형을 제공하는 것은 후속 주기에서 트렌치(300) 내에서 점증적으로 감소하는 종횡비를 제공한다.
또 다른 실시예에서, 챔버(13)가 웨이퍼 식각 후에 세정되지 않는 경우에, 다음 웨이퍼를 위한 식각 시간은 세정 후에 처리되는 기판 수에 따라 달라질 수 있 다. 예를 들어, 세정 후에 제 1 기판은 10 초 동안 식각될 수 있으며, 세정 후에 제 2 기판은 11초 동안 식각될 수 있다. 따라서, 식각 단계(435)에 이용되는 식각 시간의 양은 챔버(13) 내의 증착물의 축적에 의해 좌우된다.
식각 단계(435)에 이어서, 후-식각 처리 단계(440)는 후속 증착 단계를 위해 기판을 준비한다. 후-식각 처리 단계(440)는 식각 단계(435) 중에 필름 내측으로 도입되는 플루오르를 감소 또는 제거하는 플라즈마 처리를 포함한다. 플라즈마 처리는 필름 내의 플루오르를 감소시키기 위해서 O2, Ar, H2, 및 He 중 하나 이상을 이용하는 플라즈마 처리를 포함할 수 있다. H2 플라즈마 처리를 제공하는 단계는 기판 상의 프로파일을 변경시키기 위해서 기판을 스퍼터링하는 단계를 포함할 수 있다.
단계(445)에서, 증착 및 식각의 미리결정된 수의 주기가 완성되었는지가 결정된다. 주기의 수는 전술된 개별 증착 및 식각 단계 대 트렌치의 총 깊이의 분석을 기초로 하여 결정될 수 있다. 예를 들어, 단계(410~445)를 통해 각각의 주기에서 750 Å의 증착과 250 Å의 식각으로 각각의 주기에 500 Å의 증착을 남기며, 깊이가 약 4000 Å인 트렌치를 충진하기 위해서 약 7 주기 반복을 취할 수 있다.
증착 및 식각의 미리결정된 수 주기가 완성된 후에, 완성 단계(450)는 다른 처리를 위해서 기판을 준비하도록 선택적으로 포함될 수 있다. 예를 들어, 완성 단계(450)는 요구되는 총 필름 두께를 차지하도록 통상적인 블랭킷 증착 공정, 또는 화학 기계식 평탄화(CMP)와 같은 평탄화 공정을 포함할 수 있다.
도 2에 도시된 블록의 특정 명령은 제한적이지 않으며, 다른 실시예에서, 대응 단계는 대안적 명령으로 수행될 수 있다. 예를 들어, 단계(410)에 도시된 바와 같이 기판을 400 ℃ 미만의 온도로 냉각시키는 단계는 단계(415)에서 공정 챔버(13) 내측으로 가스 반응물을 유동시킨 후에 또는 유동시키면서 동시에 수행될 수 있다. 또한, 도 4의 특정 블록의 포함은 부가적인 단계가 대안적인 실시예로 수행될 수 있기 때문에 제한적이지 않다.
전술된 바와 같이, 단계(410)는 기판을 290 ℃ 미만으로 냉각시키기 위해 제공되지만, 실온 이하로 기판을 냉각시킴으로써 제공될 수 있다. 통상적으로, 기판을 이러한 낮은 온도로 냉각시키는 단계는 인장성 필름 및 저 품질 필름을 제공하는 경향이 있다. 그러나, 증착 및 식각 단계의 미리 결정된 수의 주기를 거친 후에 완성 단계(450)에서 높은 전력 플라즈마를 제공하는 단계는 인장성 필름을 산출할 수 있는, 증착되는 필름 내의 수분을 충분히 제거할 수 있다. 이와 달리, 높은 전력 플라즈마는 미리결정된 수의 주기가 완성되기 전에 수행될 수 있다. 예를 들어, 총 7 주기의 증착 및 식각으로, 높은 전력 플라즈마는 1, 3, 5, 및 7 주기 후에 수행될 수 있다. 증착된 상태의 필름(as-deposited film)을 후속하여 개량하는 매우 낮은 온도 처리는 미래 IC 공정 응용분야의 응력 공학 응용분야에 있어서 중요할 수 있다.
시즈닝 및 세정과 같은 챔버(13) 상태는 방법(400)의 성능에 강한 영향을 줄 수 있다. 몇몇 실시예에서, 챔버(13)는 두-단계 세정으로 세정되며, 여기서 저압 세정 및 상대적으로 보다 높은 압력 세정은 챔버 리드 및 벽 또는 챔버(13)의 다른 부분을 별도로 세정하도록 프로그램화된다. 세정은 챔버(13)를 적합하게 시즈닝하도록 SiH4 증착 및 퍼지 단계를 수반할 수 있다. 세정은 세정 성능을 증가시키도록 챔버(13)의 가열단계를 도입시킬 수 있다.
몇몇 실시예에서, 챔버(13)는 바람직하게는 방법(400) 시작 전, 또는 다수의 기판이 처리되기 전에 시즈닝된다. 몇몇 실시예에서, 시즈닝 단계는 층의 일부분을 식각하는데 이용되는 식각 가스에 의한 챔버의 침식을 방지하기 위해서 단일 증착-식각 주기의 증착 두께보다 4 내지 20 배 두꺼운 챔버 내의 시즈닝 증착을 갖춘, 실리콘-부화 증착으로 챔버의 코팅 단계를 포함한다. 챔버의 시즈닝은 식각 주기가 챔버(13) 내의 상태를 변화시키기 때문에, 시간이 지나면서 방법(400)의 웨이퍼 마다(from wafer-to-wafer)의 편차를 방지할 수 있다. 예를 들어, 식각 단계(435)는 챔버의 천장보다는 다소 많거나 적게 챔버의 벽을 세정시킬 수 있다. 또한, 방법(400)은 증착 중에 O2 및 H2를 갖춘 고도의 산화 플라즈마, 높은 RF 소오스 전력을 이용하며, 식각 단계(435) 중에 플루오르를 고도로 감소시킨다. 몇몇의 상업용 실제 재료는 이러한 극도의 상태를 견딜 수 있다. 시즈닝은 방법(400)이 챔버(13) 내의 상업용 실제 재료 성분으로 작동하게 한다.
공정 최적화
방법(400)에서, 증착 단계(407) 및 식각 단계(435)가 식각 단계(435) 중에 하부 구조물을 손상시키는 것을 방지하도록 디자인된다. 이는 효과들이 조합되어 달성될 수 있다. 증착 단계(407)는 충분한 재료가 하부 구조물을 보호하도록 증착 되도록 디자인된다. 식각 단계(435) 중에 설정되는 조건은 너무 많은 재료가 식각되어 트렌치(300)(도 3)의 하부 구조물이 노출되지 않도록 디자인된다.
다시 말하면, 방법(400)으로 기판 상의 소정의 구조물에 있어서 갭필 성능을 최적화하는 요소는 통상적으로 증착 두께 및 식각 제거 양이다. 소정의 증착 량에 대한, 최적 양의 식각 제거가 있다. 식각이 증착되는 재료를 충분히 제거하지 못하면, 트렌치는 개방되지 않으며, 후속 증착이 커스핑 효과(cusping effect)에 해롭게 추가될 것이며, 공극을 남긴다. 식각이 증착된 재료를 너무 많이 제거하면, 구조물 상의 보호 층(예를 들어, 질화물 라이너)은 침식되고 소자는 손상될 수 있다. 최적의 식각 량은 각각의 식각 상태 및 각각의 증착 상태 및 두께에 대해서 결정될 수 있다.
예를 들어, 일 실시예에서, 각각의 주기에서의 식각 단계(435)의 275 Å의 제거는 완전히 충진되는 트렌치(300)를 제공한다. 그러나, 각각의 식각 단계(435)에서의 200Å만의 제거로, 기판의 에지에서 트렌치(300) 내에 공극이 형성된다. 따라서, 식각 단계(435) 중에 수행되는 식각의 양은 소정의 양의 증착 두께를 달성하기 위해서 필요한 주기의 수에 영향을 미치며(보다 많은 식각은 보다 느린 증착 성장을 의미하며, 주기의 수를 증가시킴), 공극이 트렌치(300) 내에 형성될 수 있는지에 대해서도 영향을 미친다. 일반적으로, 상대적으로 소량의 증착(주기 당 1000 Å보다 적음) 및 이에 대응하는 소량의 식각(바람직하게는, 필름 증착 두께의 약 5 내지 15%)은 보다 "상향식" 증착을 산출하여, 좁거나(narrow) "조밀한" 트렌치 구조물 내에 공극 형성을 방지한다.
최적 공정을 결정하기 위해서, 공정 전개 방법은 도 3 및 도 4와 관련하여 다음의 일반적인 절차를 취할 수 있다. 우선, 실험 패턴 기판 상에서, 단계(410 내지 425)를 이용하여, 증착의 단일 반복을 수행한다. 증착되는 필름에 있어서, 커스프(308)의 바닥 커버리지, 측벽 커버리지, 및 높이를 측정한다. 그 후, 식각(435)의 단일 반복을 수행하고, 커스프(308)의 바닥 및 측벽에서의 제거 양을 결정하도록 측정한다. 증착 측정 및 식각 측정을 이용하는 단계는 가장 높은 종회비를 가지는 구조물 또는 기판 상의 가장 적은 구조물을 위해 증착 단계(410 내지 425) 및 식각 단계(435)를 독립적으로 최적화한다.
방법(400)의 이점은 이용하기 용이하다는 점이다. 통상적으로, 전반적인 공정에서 각각의 단계의 조건을 요구하는 종래의 DED 공정과 대조를 이루어, 본원에 기재된 방법(400)의 실시예는 작동 이용의 용이 및 조건의 용이성을 제공한다. 방법(400)에서, 증착 및 식각 단계가 기판 상의 특정 구조물을 위해서 최적화된다면, 오퍼레이터(operator)에 의해 조절될 수 있는 매개변수는 증착 시간 및 식각 시간이다. HDP-CVD 반응기의 제어자는 공정 관리의 용이성을 위해서, 반복, 신속, 및 정확한 증착 및 식각 주기를 허용하도록 프로그램화할 수 있다.
방법(400)의 다른 이점은 상대적으로 낮은 증착 온도가 종래의 DED 공정에서 이용되는 보다 높은 웨이퍼 온도에서보다 보다 더 많은 하부 트렌치 피쳐(예를 들어, 질화물 라이너)의 보호를 제공한다는 점이다. 보다 냉각되는 증착으로, 측벽 커버리지가 증가되어, 활성 영역을 보다 더 보호하며, 갭필이 손상없이 전개되게 한다. 그 반대로, 보다 높은 온도 증착으로, 측벽은 덜 보호되며 에지의 클리핑 (clipping)이 명백한 것이 사실이다.
당업자들은 여러 공정 챔버 및 여러 공정 조건에 있어서 처리 매개변수가 변할 수 있으며, 여러 전구체가 본 발명의 사상으로부터 벗어나지 않고 이용될 수 있다는 점을 알고 있다. 다른 변형 또한 당업자들에게 명백하다. 이러한 변형은 본 발명의 범위 내에 포함되게 한다. 따라서, 이러한 본 발명의 범위는 기재된 실시예에 제한되는 것은 아니지만, 대신에 다음의 청구범위에 형성될 수 있다.
HDP-CVD 증착 기술을 이용하여 동시 증착 및 스퍼터링 구성을 가지는 공정으로 실리콘 산화물 필름의 갭필 성능을 개선한다.

Claims (21)

  1. 기판 상에 형성된 프리-메탈 증착 트렌치 충진 방법으로서,
    고밀도 CVD 챔버 내에서 400℃ 미만으로 냉각된 기판 상에 층을 증착시키는 층 증착 단계, 및 상기 챔버 내의 상기 기판 상에 증착된 층의 일부분을 식각하는 식각 단계를 포함하는 주기(cycle)를 실행하는 단계; 및
    상기 층을 증착하고 상기 층의 일부분을 식각하는 상기 주기를 미리결정된 수 만큼 반복하는 반복 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  2. 제 1 항에 있어서,
    상기 층을 증착하고 상기 층의 일부분을 식각하는 상기 주기를 반복하는 반복 단계는 하나 이상의 초기 주기에 이용된 공정 조건과 실질적으로 동일한 공정 조건을 설정하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  3. 제 1 항에 있어서,
    상기 기판에 열적으로 연결되는 플랫폼을 냉각시키도록 후방 냉각 가스를 유동시키는 단계를 더 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  4. 제 1 항에 있어서,
    상기 층 증착 단계는 증착 가스 및 스퍼터링 가스를 포함하는 플라즈마를 발생시키는 단계를 포함하며, 증착 대 스퍼터링의 비율은 10:1 내지 60:1의 범위를 가지는,
    프리-메탈 증착 트렌치 충진 방법.
  5. 제 1 항에 있어서,
    증착 및 식각으로 이루어진 각각의 연속 주기는 상기 층에 의해 충진되는 상기 트렌치의 종횡비를 감소시키는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  6. 제 1 항에 있어서,
    상기 층 증착 단계는 상기 트렌치의 바닥에, 상기 트렌치의 측벽에, 그리고 상기 트렌치의 개구에 인접하여 재료를 증착시키는 단계를 포함하며,
    상기 식각 단계는 상기 트렌치의 바닥 보다는 상기 트렌치의 개구에 인접한 곳에서 상대적으로 많은 부분의 증착 재료를 제거하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  7. 제 1 항에 있어서,
    상기 식각 단계는 플루오르-함유 가스 및 수소와 헬륨 중 하나 이상의 플라즈마를 설정하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  8. 제 1 항에 있어서,
    상기 증착된 층의 일부를 식각하는 단계는 상기 층의 증착된 두께의 5 내지 30%를 제거하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  9. 제 1 항에 있어서,
    상기 식각 단계는 상기 기판을 상기 증착 온도보다 높은 온도로 가열하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  10. 제 1 항에 있어서,
    상기 식각 단계는 이방성 식각 및 등방성 식각의 다-단계 식각을 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  11. 제 1 항에 있어서,
    제 1 식각 주기에서 식각되는 증착 층의 부분은 후속 식각 주기에서 식각되는 증착 층의 부분보다 많은,
    프리-메탈 증착 트렌치 충진 방법.
  12. 제 1 항에 있어서,
    제 1 증착-식각 주기에서 상기 증착된 층의 일부분을 식각하는 단계는 종점 기술에 의해 정지되며, 후속 증착-식각 주기에서 상기 증착된 층의 일부분을 식각하는 단계는 시간이 지남에 따라 정지되는,
    프리-메탈 증착 트렌치 충진 방법.
  13. 제 1 항에 있어서,
    상기 증착-식각 주기를 반복하기 전에, 아르곤, 수소 및 헬륨 중 하나 이상과 산소의 플라즈마를 설정하는 단계를 더 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  14. 제 1 항에 있어서,
    상기 증착-식각 주기를 반복하기 전에, 수소의 스퍼터링 플라즈마를 설정하는 단계를 더 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  15. 제 1 항에 있어서,
    상기 층 증착 단계는 상기 기판을 300℃ 미만으로 냉각시키는 단계를 포함하며, 상기 미리결정된 수의 주기 동안 상기 증착-식각 주기를 반복한 후에, 상기 층 내의 수분을 감소시키도록 상기 기판을 가열하는 단계를 더 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  16. 제 1 항에 있어서,
    상기 미리결정된 수의 주기 동안 상기 증착-식각 주기를 반복한 후에, 블랭킷 층을 증착하는 단계를 더 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  17. 제 15 항에 있어서,
    상기 기판을 가열하는 단계는 상기 챔버 내에서 하나 이상의 희가스 또는 증착 가스의 고-전력 플라즈마를 발생시키는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  18. 제 1 항에 있어서,
    상기 증착-식각 주기를 개시하기 전에, 상기 층의 일부분을 식각하는데 이용되는 식각 가스에 의한 상기 챔버의 손상을 방지하도록 실리콘-부화(rich) 증착으로 상기 챔버를 시즈닝(seasoning)하는 단계를 더 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  19. 제 18 항에 있어서,
    상기 챔버를 시즈닝하는 단계는 상기 층의 두께보다 4배 내지 20배 두꺼운 두께로 상기 챔버 내에서 증착하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  20. 제 1 항에 있어서,
    상기 층을 증착하는 단계는 각각의 주기에서 1000 Å 미만으로 증착하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
  21. 제 1 항에 있어서,
    상기 층의 일부분을 식각하는 단계는 하나 이상의 플루오르화탄소 식각 가스의 플라즈마를 형성하는 단계를 포함하는,
    프리-메탈 증착 트렌치 충진 방법.
KR1020070037320A 2006-04-17 2007-04-17 Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm) KR100880967B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US79274306P 2006-04-17 2006-04-17
US60/792,743 2006-04-17
US11/553,772 US7524750B2 (en) 2006-04-17 2006-10-27 Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US11/553,772 2006-10-27

Publications (2)

Publication Number Publication Date
KR20070102960A KR20070102960A (ko) 2007-10-22
KR100880967B1 true KR100880967B1 (ko) 2009-02-03

Family

ID=38906757

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070037320A KR100880967B1 (ko) 2006-04-17 2007-04-17 Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm)

Country Status (6)

Country Link
US (1) US7524750B2 (ko)
JP (1) JP5405004B2 (ko)
KR (1) KR100880967B1 (ko)
CN (1) CN100539039C (ko)
SG (1) SG136873A1 (ko)
TW (1) TW200802605A (ko)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20110027999A1 (en) * 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
KR100818714B1 (ko) * 2007-04-10 2008-04-02 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101002474B1 (ko) * 2007-12-28 2010-12-17 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
JP5514420B2 (ja) * 2008-09-17 2014-06-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
CN101752292B (zh) * 2008-12-19 2012-12-19 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
CN101752291B (zh) * 2008-12-22 2013-10-09 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
WO2010088267A2 (en) * 2009-01-31 2010-08-05 Applied Materials, Inc. Method and apparatus for etching
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9798317B2 (en) 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
JP6267881B2 (ja) * 2013-07-03 2018-01-24 東京エレクトロン株式会社 基板処理方法及び制御装置
US20150048477A1 (en) * 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
JP2015179729A (ja) * 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US10096464B2 (en) 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9627247B2 (en) * 2015-06-03 2017-04-18 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
JP6584352B2 (ja) * 2016-03-24 2019-10-02 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
KR101878665B1 (ko) * 2016-05-26 2018-07-18 참엔지니어링(주) 기판 처리 방법
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10037884B2 (en) * 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
JP6913569B2 (ja) 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
JP7018849B2 (ja) 2018-08-17 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜装置
TWI719361B (zh) * 2018-11-20 2021-02-21 財團法人金屬工業研究發展中心 化學氣相沉積設備、用於化學氣相沉積設備之方法及非暫態電腦可讀取媒體
CN111270224B (zh) * 2018-12-04 2022-06-28 财团法人金属工业研究发展中心 化学气相沉积设备及用于该设备的方法和功率补偿模块
US20200365596A1 (en) * 2019-05-15 2020-11-19 Micron Technology, Inc. Semiconductor formation using hybrid oxidation
JP2021034515A (ja) * 2019-08-22 2021-03-01 東京エレクトロン株式会社 クリーニング方法及びマイクロ波プラズマ処理装置
JP7471074B2 (ja) * 2019-12-02 2024-04-19 キヤノントッキ株式会社 成膜方法及び成膜装置
CN115428122A (zh) * 2020-04-01 2022-12-02 朗姆研究公司 接缝减轻和用于间隙填充的整合式衬垫
CN111554590B (zh) * 2020-04-16 2021-04-13 上海陛通半导体能源科技股份有限公司 半导体填孔真空系统及填孔方法
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
KR20220130026A (ko) * 2021-03-17 2022-09-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20230162947A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. High density plasma enhanced process chamber
WO2024006211A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Deposition and etch of silicon-containing layer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6258408B1 (en) * 1999-07-06 2001-07-10 Arun Madan Semiconductor vacuum deposition system and method having a reel-to-reel substrate cassette
US6495208B1 (en) * 1999-09-09 2002-12-17 Virginia Tech Intellectual Properties, Inc. Near-room temperature CVD synthesis of organic polymer/oxide dielectric nanocomposites
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6197691B1 (en) 1999-11-15 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Shallow trench isolation process
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP2002208629A (ja) * 2000-11-09 2002-07-26 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
US6797646B2 (en) * 2001-01-12 2004-09-28 Applied Materials Inc. Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
TWI250579B (en) * 2003-12-22 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device
WO2006011196A1 (ja) * 2004-07-27 2006-02-02 Fujitsu Limited 半導体装置とその製造方法
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen

Also Published As

Publication number Publication date
TW200802605A (en) 2008-01-01
JP2007305981A (ja) 2007-11-22
KR20070102960A (ko) 2007-10-22
US7524750B2 (en) 2009-04-28
JP5405004B2 (ja) 2014-02-05
CN100539039C (zh) 2009-09-09
SG136873A1 (en) 2007-11-29
CN101079379A (zh) 2007-11-28
US20070243693A1 (en) 2007-10-18

Similar Documents

Publication Publication Date Title
KR100880967B1 (ko) Hdp-cvd를 이용하는 갭필에 대한 신규한 해결법,집적 공정 모듈레이션(ipm)
KR101027265B1 (ko) Hdp-cvd 다단계 갭충진 프로세스
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US7097886B2 (en) Deposition process for high aspect ratio trenches
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US6908862B2 (en) HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
EP1182273B1 (en) Gas chemistry cycling to achieve high aspect ratio gapfill with hdp-cvd
US6559026B1 (en) Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6802944B2 (en) High density plasma CVD process for gapfill into high aspect ratio features
JP5225081B2 (ja) 堆積・エッチングシーケンスを用いたギャップ充填
KR20150103227A (ko) 고밀도 플라즈마를 구현하는 실리콘 질화물 갭필
US20080142483A1 (en) Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
KR101035775B1 (ko) Hdp 프로세스에서 에칭률 변화를 감소시키는 방법
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
TW201308431A (zh) Psg間隙填充所用之整合製程調整
KR20100043037A (ko) Hdp-cvd 통합 프로세스 조절 sio2 프로세스의 갭필 확장
JP2002353215A (ja) Hdpcvd処理によるトレンチ充填

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee