KR20070042190A - 소수 종 이용 프로세스에서 유동 및 압력 구배 제거를 위한방법 및 장치 - Google Patents

소수 종 이용 프로세스에서 유동 및 압력 구배 제거를 위한방법 및 장치 Download PDF

Info

Publication number
KR20070042190A
KR20070042190A KR1020077004382A KR20077004382A KR20070042190A KR 20070042190 A KR20070042190 A KR 20070042190A KR 1020077004382 A KR1020077004382 A KR 1020077004382A KR 20077004382 A KR20077004382 A KR 20077004382A KR 20070042190 A KR20070042190 A KR 20070042190A
Authority
KR
South Korea
Prior art keywords
chamber
gas
substrate
pressure
film
Prior art date
Application number
KR1020077004382A
Other languages
English (en)
Inventor
제임스 피. 크루즈
안드레아스 지. 헤게두스
세티쉬 쿠푸라오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070042190A publication Critical patent/KR20070042190A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

소수 종 이용 프로세스 중에 반응 챔버로의 가스 유동을 중단시킴으로써, 소수 종 이용 프로세스에서 원자가 기판내로 균일한 양으로 확산되고, 또는 박막 필름의 두께가 균일해진다. 반응 챔버로의 가스 유동을 중단하는 것은 게이트 밸브(진공 펌프로의 밸브)를 폐쇄하는 단계, 반응 챔버내의 압력을 안정화시키는 단계, 및 챔버로의 가스 유동이 중단되는 동안 안정화된 압력을 유지하는 단계를 포함한다. 소수 종 이용 프로세스는 디커플드 플라즈마 질화(DPN)에 의해 이산화 실리콘 게이트 유전체 층내로 실소를 확산시키는 것, 고속 열처리 프로세싱(RTP) 또는 화학기상증착(CVD)에 의해 이산화 실리콘 필름을 증착하는 것, 그리고 CVD에 의해 실리콘 에피텍셜 층을 증착하는 것을 포함한다.

Description

소수 종 이용 프로세스에서 유동 및 압력 구배 제거를 위한 방법 및 장치{ELIMINATION OF FLOW AND PRESSURE GRADIENTS IN LOW SPECIES UTILIZATION PROCESSES}
본 발명은 반도체 제조 및 프로세싱 분야에 관한 것으로서, 특히 디커플드 플라즈마 질화(decoupled plasma nitridation), 고속 열처리 프로세싱(rapid thermal processng) 및 화학기상증착에 의해 달성되는 소수 이용 프로세스(low utilization processes)에 관한 것이다.
소수 종 이용 프로세스는 디커플드 플라즈마 질화(DPN)에 의한 질소의 이산화 실리콘 게이트 전극 층으로의 확산, 화학기상증착(CVD) 또는 고속 열처리 프로세싱(RTP)에 의한 이산화 실리콘 필름의 증착, 및 CVD에 의한 실리콘 에피텍셜 층의 증착을 포함한다. 이러한 각각의 소수 종 이용 프로세스에서, 프로세스가 이루어지는 기판에 걸쳐 매우 균일한 박막 필름 또는 원자의 확산을 획득하는 것이 중요하다. 이는, 소자들이 더욱 작아짐에 따라, 보다 얇은 필름을 필요로 하고 또한 원자가 기판내로 보다 낮은 농도로 확산하는 것을 필요로 하기 때문이다. 다시, 보다 얇은 필름 및 원자의 기판내로의 낮은 농도 확산은 기판에 걸친 필름 두께 또는 확산 농도 편차가 작을 것을 요구한다.
이산화 실리콘 게이트 유전체내로 질화물이 확산하는 것은 디커플드 플라즈마 질화(DPN) 챔버내에서 이루어질 것이다. 질소 가스가 이산화 실리콘 게이트 유전체가 상부에 형성된 기판을 포함하는 챔버내로 유동되고, 유동이 계속되는 동안 플라즈마가 충돌(struck)할 것이다. 플라즈마가 질소를 이온화하고, 이온화된 질소가 이산화 실리콘 게이트 유전체내로 확산된다.
고속 열처리 프로세싱(RTP)에 의한 이산화 실리콘 필름의 형성이 RTP 챔버내에서 실시될 것이다. 수소(H2) 및 산소(O2) 가스가 RTP 챔버내로 유동되고, 실리콘 기판이 수소 및 산소 가스가 실리콘 기판과 반응하여 이산화 실리콘 층을 형성하게 되는 온도까지 가열된다.
화학기상증착(CVD)에 의한 에피텍셜 층의 형성이 CVD 챔버내에서 실시될 것이다. 증착되는 물질 타입의 전구체(precursor) 가스가 상기 챔버내로 유동하며, 때때로 캐리어(carrier) 가스 또는 희석 가스와 함께 유동한다. 가스가 챔버를 통해 유동하는 동안, 전구체 가스들이 반응하여 증기를 형성하고 기판상에 필름을 형성하는 온도까지 챔버가 가열된다.
이러한 각각의 프로세스들을 통해, 가스가 챔버를 통해 유동하고, 챔버내의 압력이 챔버의 여러 부분에서 서로 상이할 것이다. 압력 구배는 챔버내로 가스가 계속적으로 유동하는 것 및 챔버 외부로 가스가 펌핑되는 것에서 비롯될 것이다. 이러한 유동 및 압력 구배는 기판내로 확산된 원자의 양 또는 기판상에 형성된 필름 두께의 기판에 걸친 불균일성을 일으키는 주요 원인이 된다.
유동 및 압력 구배에 의해 유발되는 불균일성을 줄이기 위한 반응 챔버에 대한 몇 가지 개선이 이루어졌다. 이러한 개선은, 펌핑 플레이트(pumping plate), 가스 확산 플레이트, 및 샤워헤드를 포함한다. 펌핑 플레이트는 챔버 내외로의 가스 유동에 의해 유발되는 유동 및 압력 구배를 제어하도록 디자인되었다. 가스 분배 플레이트는 유동 및 압력 구배에 의해 유발되는 불-균일 가스 분배를 극복하기 위해 챔버를 통해 가스를 균일하게 분배하도록 디자인되었다. 샤워헤드는 유동 및 압력 구배를 극복하기 위해 유동 가스를 챔버내로 특별한 방식으로 분배하도록 디자인되었다.
이러한 반응 챔버에 대한 개선은 공급부로부터 펌프로 가스가 유동함에 따라 발생하는 압력 및 유동 구배를 줄이는데 도움이 될 수 있다. 그러나, 특히 반응물의 소모가 비교적 적은 소수 이용 프로세스에서, 이러한 개선사항은 프로세스에 충분한 균일성을 부여하지 못한다.
본 발명의 일 측면에 따라, 가스를 반응 챔버내로 유동시키는 단계, 챔버내의 압력이 안정화되면 반응 챔버내로의 가스 유동을 중단하는 단계, 및 챔버내로의 가스 유동을 중단한 후에 챔버내에서 소수 종 이용 프로세스를 실시하는 단계에 의해 소수 종 이용 프로세스가 반응 챔버내에서 실시된다. 소수 종 이용 프로세스는 디커플드 플라즈마 질화, 고속 열처리 프로세싱에 의한 필름의 증착, 또는 화학기상증착에 의한 필름의 증착일 수 있다.
본 발명의 다른 측면에 따라, 비-유동(no-flow) 프로세싱을 위해 디자인된 반응 챔버가 설명된다.
도 1은 본 발명의 실시예에 따른 디커플드 플라즈마 질화 프로세스의 흐름도이다.
도 2a는 디커플드 플라즈마 질화 챔버를 도시한 단면도이다.
도 2b는 디커플드 플라즈마 질화 챔버의 RF 공급원 및 내부의 단면도이다.
도 3은 디커플드 플라즈마 질화 프로세스 중에 이산화 실리콘 필름내로 질소가 확산하는 것을 단면 도시한 도면이다.
도 4는 본 발명의 실시예에 따라 기판상에 필름을 형성하는 고속 열처리 프로세스의 흐름도이다.
도 5는 고속 열처리 프로세싱 챔버의 단면도이다.
도 6은 반응 가스의 고속 열처리 프로세스 중에 실리콘 기판상에 이산화 실리콘 필름이 형성되는 것을 도시한 단면도이다.
도 7은 본 발명의 실시예에 따른 필름의 화학기상증착의 흐름도이다.
도 8은 화학기상증착 챔버의 단면도이다.
도 9는 화학기상증착에 의해 실리콘 기판상에 형성된 실리콘 에피텍셜 층의 단면도이다.
이하에서, 본 발명의 용이한 이해를 위해 수많은 특정의 구체적 구성에 대해 설명하였다. 본원 발명의 기술분야의 통상의 지식을 가진 소위 당업자는 이러한 특정의 구체적인 구성이 단지 예시적인 것이며 본 발명을 그러한 범위로 제한하고자 하는 것이 아님을 분명히 이해할 것이다. 또한, 다른 경우에, 본 발명을 불명료하게 하는 것을 방지하기 위해 주지의 프로세싱 기술 및 장치에 대해서는 구체적으로 설명하지 않는다.
소수 종 이용 프로세스 중에 반응 챔버내로의 가스 유동을 중단함으로써, 소수 종 이용 프로세스에서 기판내로 확산되는 원자의 양이 균일해질 것이다. 반응 챔버내로의 가스 유동을 중단하는 것은 게이트 밸브(진공 펌프로의 밸브)를 폐쇄하는 단계, 반응 챔버내의 압력을 안정화하는 단계, 및 챔버내로의 가스 유동을 중단하는 동안에 안정화된 압력을 유지하는 단계에 의해 구현될 수 있다. 유사하게, 소수 종 이용 프로세스 중에 반응 챔버내로의 가스 유동을 중단시키는 단계에 의해, 소수 종 이용 프로세스에서 박막 필름의 두께 균일화가 이루어질 수 있을 것이다. 소수 종 이용 프로세스는 박막 필름 형성 또는 주입(implant) 또는 확산이 반응 챔버내의 반응물의 적은 부분만을 이용하여 이루어지는 프로세스이다. 특히, 소수 종 이용 프로세스는 박막 필름이 반응 챔버내의 반응물만을 이용하여 형성되는 프로세스일 수 있고, 또는 평방 센티미터의 기판 표면내로 확산되거나 주입된 원자의 양이 약 1 x e14 원자/cm2 내지 1 x e16 원자/cm2 인 프로세스일 수 있다.
소수 종 이용 방법에서, 소수 이용 프로세스를 위한 챔버내에 충분한 양의 반응물이 존재할 때까지 가스를 챔버내로 유동시킨다. 이어서, 반응 챔버내로의 가스 유동을 중단시킨다. 반응 챔버내로의 가스 유동을 중단시키는 단계는 게이트 밸브(진공 펌프로의 밸브)를 폐쇄하는 단계, 및 반응 챔버내의 압력을 안정화시키고 이어서 반응 챔버내로의 가스 유동을 중단하는 동안에 안정화된 압력을 유지하는 단계를 포함할 수 있다. 챔버내의 압력이 안정화되면 소수 종 이용 프로세스가 실시될 것이다. 게이트 밸브를 폐쇄하고 챔버내의 압력을 안정화키며 소수 종 이용 프로세스를 실시함으로써, 기판내로 확산된 원자의 양의 불-균일성 또는 기판상에 증착된 박막 필름 두께의 불-균일성이 최소화되거나 제거될 수 잇을 것이다. 불-균일성이 최소화되거나 제거되는데, 이는 프로세싱 중에 챔버내에 압력 또는 유동 구배가 더 이상 존재하지 않기 때문이다. 이러한 "비-유동(no-flow)" 방법을 이산화 실리콘 게이트 유전체 또는 HaFx와 같은 고유전 상수(K) 필름의 디커플드 플라즈마 질화에 적용할 수 있을 것이고, 고속 열처리 프로세싱, 화학기상증착 및 원자층 증착에 의한 박막 필름 형성에도 적용할 수 있을 것이다.
일 실시예에서, 소수 종 이용 프로세스가 디커플드 플라즈마 질화(DPN) 프로세스이다. DPN 프로세스 중에, 이산화 실리콘 게이트 유전체와 같은 기판내로 질소가 확산된다. 도 1은 본 발명에 따른 DPN 프로세스의 단계들을 개략적으로 나타낸 흐름도이다. 블록(101)에서, 단결정 실리콘 웨이퍼와 같은 기판이 도 2에 도시된 바와 같이 DPN 챔버(10)내의 기판 홀더(14)상으로 제공된다. DPN 챔버내에 위치된 기판의 단면이 도 3에 도시되어 있다. 기판이 플라즈마 반응기(10)내로 삽입되기에 앞서서, 기판 홀더(14)의 상부 표면상에 위치된 기판상에 에피텍셜 실리콘 층(54)이 형성된다. 기판이 플라즈마 반응기(10)내로 삽입되기 전에, 얇은 이산화 실리콘 층(58)이 실리콘 층(54)상에서 성장된다. 이산화 실리콘 층(58)의 두께는 몇 옹스트롬(예를 들어 40 옹스트롬) 정도이고, 최종적으로 제조된 트랜지스터내에서 게이트 유전체 층으로서 추후에 이용된다.
도 2에 도시된 DPN 챔버(10)는 예를 들어 200 mm 웨이퍼 또는 300 mm 웨이퍼인 다양한 지름의 웨이퍼 또는 기판에서 서로 상이하게 디자인될 것이다. DPN 챔버(10)는 200 mm 웨이퍼를 프로세싱하는데 이용되는 챔버의 예이다. DPN 챔버(10)는 하부 이송 챔버(26) 및 이송 메카니즘(28)을 포함한다. 상부 챔버(12)가 이송 챔버(26)의 위쪽에 위치된다. 이송 챔버(26)의 내부 체적부(30)가 챔버(12)의 베이스내의 원형 개구부(32)를 통해 상부 챔버(12)의 내측 체적부(24)와 연통하도록 위치된다. 기판 홀더(14)는 상기 이송 메카니즘(28)의 상부에 고정되고, 이송 메카니즘(28)은 기판 홀더(14)를 상승 또는 하강시키는데 이용될 수 있다.
사용시에, 이송 메카니즘(28)이 작동되어, 기판 홀더(14)를 이송 챔버(26)의 내부 체적부(30)내로 하강시킨다. 이어서, 로봇 아암에 부착된 블레이드상에 위치된 기판이 이송 챔버(26)의 벽내부의 슬릿-밸브 개구부를 통해 내부 체적부(30)내로 이송된다. 이어서, 이송 메카니즘(28)이 작동되어 기판 홀더(14)를 상승시킴으로써 기판 홀더(14)가 기판의 하부 표면과 접촉하고 기판을 블레이드로부터 이격시켜 상승시킨다. 이어서, 블레이드가 이송 챔버(26)로부터 제거되고, 그 후에 이송 메카니즘(28)이 다시 작동되어 기판 홀더(14)를 개구부(32)내로 상승시킨다. 기판 홀더(14)상에 위치된 기판은 상부 챔버(12)의 내측 체적부(24)에 노출되는 상부 표면을 구비한다. 상부 챔버(12)는 전도성 본체(36) 및 유전체 석영 상부 벽(38)을 주요 구성으로 포함한다. 전도성 본체(36)는 챔버(12)의 하부 부분을 형성하고, 상부 벽(38)은 상부 챔버(12)의 상부 부분을 형성한다. 전도성 본체(36) 및 상부 벽(38)이 결합되어 내부 체적부(24)를 형성한다.
4개의 가스 노즐 포트(40)가 전도성 본체(36)를 통해 내측 체적부(24)내로 형성된다. 가스 노즐 포트(40)들은 기판 홀더(14) 둘레로 90°간격으로 위치된다. 대안적인 다른 실시예에서, DPN 챔버(10)가 기판 홀더(14) 위쪽의 가스 노즐 포트를 구비하도록 디자인될 수 있다. 또한, 전도성 본체(36)의 일 측부에는 진공 펌핑 채널(42)이 형성된다. 가스 노즐 포트(40)들은 밸브들을 통해 가스 매니폴드에 연결되고, 진공 펌핑 채널(42)이 펌프에 연결된다. 펌프가 작동될 때, 가스들이 진공 펌핑 채널(42)을 통해 내부 체적부(24)로부터 추출되어 내부 체적부(24)내의 압력을 감소시킨다. 밸브들이 작동되어, 밸브들 및 가스 노즐 포트(40)를 통해서 가스들이 매니폴드(도시 안 됨)로부터 내부 체적부(24)로 유동할 수 있게 허용한다.
보다 구체적으로, 도 2b를 참조하면, 상부 벽(38)은 돔(dome) 형상을 가지며, 전극 플레이트(18)는 상부 벽(38)의 외측 표면과 일치(conform)하는 돔 형상을 가진다. 사실상, 전극 플레이트(18)는 상부 벽(38)의 바로 위에 위치된다. 전극 플레이트(18)는 상부 벽(38)의 중심 위쪽에 원형 개구부(44)를 형성한다. 상부 벽(38) 및 전극 플레이트(18)는 수직 축선(46)을 중심으로 대칭적이된다. 코일(16)이 수직 축선(46) 및 개구부(44) 둘레로 감겨진다. 코일(16)은 전극 플레이트(18)상에 위치되고 그 전극 플레이트(18)의 돔 형상에 일치된다. 코일(16)의 일 단부가 RF 공급원(50)에 연결되고, 코일(16)의 반대쪽 단부가 접지(52)에 연결된 다.
다른 대안적인 실시예에서, DPN 챔버가 비-유동 프로세스를 위해 개선될 수 있다. 이러한 개선사항은 진공 펌핑 채널(예를 들어, 42)의 제거를 포함한다. 진공 펌핑 채널의 목적은 프로세싱 중에 챔버 외부로의 가스 유동을 조정하여 기판으로의 질소 확산에 있어서 불균일성을 초래하는 유동 및 압력 구배를 최소화하기 위한 것이다. 프로세싱 중에 가스가 챔버 외부로 펌핑되지 않기 때문에, 진공 펌핑 채널은 더 이상 필요하지 않을 것이다. 또한, 프로세싱 중에 가스가 챔버 외부로 펌핑되지 않기 때문에, 터보 펌프 및 그에 따른 터보 스택(turbo stack)이 더 이상 필요하지 않을 것이다. 터보 펌프 보다 펌핑 성능이 낮은 펌프를 이용할 수 있는데, 이는 프로세싱 중에 큰 체적의 가스가 챔버 외부로 펌핑되지 않기 때문이다. 또한, 유동 및 압력 구배를 최소화하기 위해 일반적으로 터보 펌프에 수반하여 프로세싱 중에 챔버 외부로의 가스 유동을 조정하는 터보 스택도 불필요할 것이다. 또한, 프로세싱 중에 압력 및 유동 구배가 더 이상 문제가 되지 않기 때문에, 반응 가스들이 어떠한 위치에서도 챔버 내외로 유동될 수 있을 것이며, 챔버 내외로의 가스 유입구 및 가스 유출구에 단순한 온/오프 밸브가 이용될 수 있을 것이다. 단순한 온/오프 밸브가 이용되기 때문에, 복잡한 가스 매니폴드 및 질량 유량 제어부도 불필요할 것이다. 이러한 개선 사항은, 고속 열처리 프로세싱 챔버, 화학기상증착 챔버, 및 원자층 증착 챔버와 같이, "비-유동형" 소수 종 이용 프로세스가 이용되는 모든 프로세스 챔버에서 이루어질 수 있을 것이다.
블록(102)에서, 기판이 DPN 챔버(10)내에 정위치되면, 질소-함유 가스가 DPN 챔버(10)의 체적부(24)내로 유동된다. 질소-함유 가스는 순수 질소(N2), 질소와 헬륨 가스의 혼합물(N2/He), 질소와 네온 가스의 혼합물(N2/Ne), 또는 질소와 아르곤 가스의 혼합물(N2/Ar), 또는 (순수한 또는 불활성 가스와 혼합된)N2O 일 수 있다. N2O를 이용하여 실시되는 질화의 균일성은 "비-유동" 프로세스에 의해 크게 개선되는데, 이는 N2O 가 분해되어 다수-종(multi-species) 반응이 초래되기 때문이다. 질소 가스와 혼합된 헬륨, 네온, 또는 아르곤과 같은 불활성 가스의 양은 가스 혼합물의 약 95% 이하일 것이며, 특히 가스 혼합물의 약 30% 내지 90% 이다. 가스 유동이 중단되기 전에 DPN 챔버(10)내로의 질소 가스의 유량은 약 10 sccm/초 내지 50 sccm/초일 것이다. 챔버내로 유동하는 질소 가스의 양은 300 mm 웨이퍼 기판에 대해 약 1 x 1014 원자/cm2 내지 8 x 1014 원자/cm2 로 주입하기에 충분한 양일 것이다. 내부 체적부(24) 및 펌핑 채널(42)을 포함하는 챔버의 전체 내부 체적은 약 70 리터일 수 있다. 펌핑 채널(42)이 존재하는지의 여부에 따라, 챔버의 전체 내부 체적은 70 리터 보다 상당히 작을 수도 있다. 펌핑 채널(42)은 전체 내부 체적의 약 2/3를 차지할 수 있다. 블록(103)에서, 내부 체적부(24)내의 압력이 안정화될 때까지 질소 가스가 챔버내로 유동한다. 안정화된 압력은 챔버내에서 요구되는 약 0.1 밀리토르(milliTorr)의 압력에서 약 5초간 유지될 때 달성된다. 일 실시예에서, 게이트 밸브(진공 펌프로의 게이트 - 도시 안 됨)를 폐쇄한 후에, 내부 체적부(24)내의 압력이 안정화될 때까지 내부 체적부(24)내로 가스를 느린 속도로 유동 시킴으로써 내부 챔버(24)내의 압력이 안정화된다. 유량 감소에 의해 압력이 안정화되면, 압력 제어부가 프로세싱 중에 안정한 압력을 유지한다. 대안적인 실시예에서, DPN 챔버(10)의 전체 내부 체적의 압력 안정화의 모든 파라미터를 제어하도록 소프트웨어를 프로그램할 수도 있다. 이러한 실시예에서, 가스 유량은 장치-판독 매체(machine-readable medium)가 결합된 시스템 제어부에 의해 감소되며, 상기 장치-판독 매체는 가스 유량의 감소를 제어하는 명령어 세트(set of instructions)를 저장하는 메모리를 가진다. 가스 유량은 DPN 챔버(10)내에서 소정 압력이 얻어질 때까지 감소되고, 이어서 가스 유동이 중단되는 동안 시스템 제어부에 결합된 장치-판독 매체의 메모리에 저장된 명령어 세트가 DPN 챔버(10)내부의 압력을 안정화시킨다. 내부 체적부(24)내의 안정화된 압력은 약 0.1 mTorr - 1000 mTorr, 특히 약 5 mTorr - 95 mTorr, 보다 특히 약 30 mTorr이다.
블록(104)에서, 내부 체적부(24)내로의 가스 유동을 중단시키고 약 1초 내지 5초 후에, 블록(105)에서, 질소 이온(N+) 플라즈마(22)가 이산화 실리콘 층(58) 위쪽의 내부 체적부(24)내에서 충돌한다. 실리콘 기판상에 형성된 이산화 실리콘 층(58)의 위에 형성된 질소 이온(N+) 플라즈마(22)가 도 3에 도시되어 있다. 질소 플라즈마(22)는 도 2b의 RF 공급원(50)에 충돌된다. RF 공급원은 약 13.56 MHz의 주파수를 생성한다. RF 코일은 상부 벽(38)을 가로지르는 전극 플레이트(18)에 의해 펼쳐지는 RF 필드(field)를 생성한다. 원형 개구부(44)는 RF 필드가 상부 벽(38)을 통해 내부 체적부(24)내로 들어갈 수 있게 허용한다. RF는 10 kHz 주파 수로 펄스화될 수 있다. RF 펄스는 약 30W - 300W의 유효 무선 주파수 파워 레벨일 수 있다. 유효 파워는 파워에 듀티 사이클을 곱한 값이다. 예를 들어, 일 실시예에서, 듀티 사이클이 30%일 때 유효 파워는 약 150W이고, 전체 파워는 500W이다. 이러한 실시예에서, RF는 매 100 밀리초에 대해 약 33 밀리초로 펄스화되고, 그에 따라 약 150 밀리초의 유효 파워를 초래한다.
RF 필드는 질소와 결합되고 적은 수의 자유 전자를 여기시킨다. 이어서, 자유 전자는 다른 원자와 충돌하여 질소 원자로부터 보다 많은 전자를 방출시킨다. 이러한 프로세스는 안정-상태 조건이 달성될 때까지 계속되며, 상기 안정-상태에서는 질소 플라즈마(22)가 안정된 양의 자유 전자 및 자유 이온, 안정된 전자 온도, 및 접지에 대한 일정한 전압을 가진다. 그에 따라 이온 저장부가 내부 체적부(24)내에 생성되고, 도 1의 블록(106)에서, 질소 플라즈마(22)의 전압 포텐셜은 이러한 저장부로부터 이온이 이산화 실리콘 층(58)내로 확산하는 것을 돕는다. 전체 프로세스 중에 기판 홀더(14) 및 기판의 포텐셜이 자유롭게 플로팅(float)하나, 질소 플라즈마(22)의 전압과 기판 홀더(14)의 전압 사이에는 편차가 있으며, 블록(106)에서, 그러한 편차가 질소 이온을 이산화 실리콘 층(58)내로 확산시키는 구동력이 된다. 약 1 x 1014 원자/cm2 내지 8 x 1014 원자/cm2 를 기판내로 주입하기에 충분한 시간 동안 확산이 이루어져서, 이산화 실리콘 층(58)내에 약 4%-12%, 특히 7%-8%의 질소가 얻어진다. 질소가 이산화 실리콘 필름 전체로 확산될 것인데, 이는 이산화 실리콘 필름의 두께가 약 6Å 내지 16Å일 것이기 때문이다. 플라즈마는 약 2초 - 120초, 특히 15초 - 45초, 보다 특히 30초 동안 충돌된다. 프로세싱 중에 유동이 차단되는 프로세스에 대비하여 프로세싱 중에 가스가 유동하는 프로세스 중에 이산화 실리콘 층내로 확산된 질소 원자의 균일성 차이는 약 75% 일 것이다.
질소 플라즈마(22)로부터의 원자가 확산한 후에, RF가 차단되고 퍼지 가스가 DPN 챔버(20)의 내부 체적부(24)를 통해 유동될 것이다. 이어서, 기판이 챔버로부터 제거되고 고속 열처리 프로세싱으로 이송되어 어닐링됨으로써 이산화 실리콘 층(58)내에서의 질소 억류(retention)을 증대시킨다. 질소가 확산된 이산화 실리콘 층(58)이 형성된 기판을 약 700℃ 내지 1200℃의 온도에서 약 5초 내지 120초 동안 어닐링한다.
대안적인 실시예에서, 소수 종 이용 프로세스는 도 5에 도시된 챔버(500)와 같은 고속 열처리 프로세싱(RTP) 챔버를 이용하여 기판상에 박막 필름을 형성한다. 하나의 특정 실시예에서, RTP 챔버(500)내에서 소수 종 이용 프로세스를 이용하여 이산화 실리콘 필름을 실리콘 기판(506)상에 형성한다. 실리콘 기판(506)은 RTP 챔버(500)내에서 기판 지지 구조물(508)상에 장착된다. 도 4는 이러한 실시예에서의 단계들을 도시한 흐름도이다. 블록(401)에서, 반응 가스(520)가 도 5에 도시되고 실리콘 기판(506)을 수용하는 고속 열처리 프로세싱(RTP) 챔버(500)내로 유동된다. 실리콘 기판(506)은 단결정 실리콘 웨이퍼 또는 절연체 상의 실리콘(SOI) 웨이퍼일 수 있다. 실리콘 기판상에 이산화 실리콘 필름을 형성하는데 이용될 수 있는 반응 가스는 산소(O2) 또는 산소(O2) 및 수소(H2)의 혼합물일 수 있다. 산소(O2) 및 수소(H2)의 혼합물 반응 가스가 이용되는 실시예에서, 산소 및 수소가 물 분자를 형성한다. 이러한 실시예에서, 수소(H2)의 양은 약 1% - 33%, 특히 약 2%, 그리고 혼합물의 나머지가 산소(O2)일 수 있다. 블록(402)에서, 챔버내의 압력이 안정화될 때까지 반응 가스가 상온의 RTP 챔버(500)내로 유동한다. 안정화된 압력은 5 Torr 내지 15 Torr, 특히 약 10 Torr이다. 일 실시예에서, 진공 펌프(도시 안 됨)를 통해 배기부(530)에서 RTP 챔버(500) 외부로 가스를 적은 유량으로 유동시킴으로써, 그리고 RTP 챔버(500)내의 압력이 안정화될 때까지 배기부(530)에서 압력 제어 밸브를 조정함으로써, RTP 챔버(500)내의 압력을 안정화시킨다. 유량을 감소시킴으로써 압력이 안정화되면, 압력 제어부가 프로세싱 중에 안정한 압력을 유지한다. 대안적인 실시예에서, RTP 챔버(500)의 내부 체적부의 압력 안정화의 모든 파라미터를 제어하도록 소프트웨어가 프로그램될 수 있다. 이러한 실시예에서, 가스 유량은 장치-판독 매체가 결합된 시스템 제어부에 의해 감소되며, 상기 장치-판독 매체는 가스 유량의 감소를 제어하는 명령어 세트를 저장하는 메모리를 가진다. 가스 유량은 RTP 챔버(500)내에서 소정 압력이 얻어질 때까지 감소되고, 이어서 가스 유동이 중단되는 동안 시스템 제어부에 결합된 장치-판독 매체의 메모리에 저장된 명령어 세트가 RTP 챔버(500) 내부의 압력을 안정화시킨다. 가스 유동의 중단에 앞서서 RTP 챔버(500) 내부의 온도는 반응 가스 또는 가스들의 반응을 유발하지 못하는 온도이다. 산소(O2) 및 수소(H2) 반응 가스의 혼합물이 이용되는 실시예에서, 가스 유동의 중단에 앞서서 RTP 챔버(500) 내부의 온도는 반응물로부터 물을 형성 하지 못하는 온도이다. H2와 O2 사이의 반응을 유발하기에 충분한 온도는 약 600℃이다. 일 실시예에서, 가스 유동의 중단에 앞서서 RTP 챔버(500) 내부의 온도는 대략적으로 상온일 것이다.
블록(403)에서, RTP 챔버(500)내로의 가스 유동이 중단된다. 이어서, 반응 가스의 반응을 유발하기 위해 기판(506)이 특정 온도까지 온도 상승된다. 반응 가스들이 H2와 O2인 실시예에서, 기판은 약 600℃까지 온도가 상승된다. 기판은 그 기판(506)의 바로 위에 위치하는 가열 요소(510)에 의해 가열될 것이다. 가열 요소(510)는 텅스텐 할로겐 램프와 같은 가열 램프로 형성될 수 있다. 열 복사(512)가 생성되어 기판(510)을 가열한다. 대안적인 실시예에서, 기판(506)은 저항 히터 요소를 포함하는 서셉터(susceptor)에 의해 가열되고, 또는 복사 가열 요소(510) 및 저항 가열 요소를 포함하는 서셉터 모두에 의해 가열될 수 있다. 온도의 상승 속도는 50℃/초 보다 클 수 있고, 특히 약 75℃/초 내지 100℃/초일 수 있다. 기판이 가열되는 온도는 800℃ 이상일 수 있고, 특히 약 800℃ 내지 1100℃일 수 있다. 기판(506)의 온도는 온도 프로브(526)에 의해서 그리고 고온계(528)에 의해 측정된다.
목표 온도에 도달하면, 블록(405)에서, 이산화 실리콘 필름(620)이 목표 두께로 형성되는데 충분한 시간동안 온도가 일정하게 유지된다. 반응 중단을 위해 온도를 낮추거나 챔버내의 반응물을 모두 이용함으로써, 목표 두께가 얻어질 수 있다. 도 6은 수소(H2) 및 산소(O2) 반응 가스(610)에 의해 실리콘 기판(506)상에 형 성된 이산화 실리콘 필름(620)을 도시한다. 일 실시예에서, 이산화 실리콘 필름의 두께는 약 5 옹스트롬 내지 100 옹스트롬일 것이며, 이는 필름의 의도하는 용도에 따라 달라질 것이다. 이산화 실리콘 게이트 유전체가 형성되는 실시예에서, 필름의 두께는 약 30 옹스트롬 미만일 것이며, 하나의 특정 실시예에서는 약 5 옹스트롬의 단일 층일 것이다. 이산화 실리콘이 라이너(liner) 층 또는 희생 산화물을 형성하는 실시예에서, 필름의 두께는 약 50 옹스트롬 내지 100 옹스트롬일 것이다. 이산화 실리콘이 격리 영역을 형성하는 실시예에서, 필름은 약 100 옹스트롬 내지 200 옹스트롬의 두께를 가질 것이다. 온도는 약 15초 내지 300초내에서 일정하게 유지될 것이다. 챔버내의 제한된 반응물의 양으로 인해 반응은 자체적으로 제한되며, 온도를 300초 보다 긴 시간 동안 일정하게 유지하더라도 무시할 수 있는 정도의 성장만을 얻을 수 있을 것이다. 프로세싱 중에, 이산화 실리콘 필름(620)을 기판(506)상에 형성하면서 기판의 중앙 축선을 중심으로 약 90 rpm 내지 240 rpm의 회전 속도로 수평방향으로 기판을 회전시킬 수 있을 것이다. 이산화 실리콘 필름(620)은 매우 균일한 두께를 가질 것인데, 이는 챔버 내외로의 가스 유동이 없어 프로세싱 중에 챔버내에 압력 및 유동 구배가 형성되지 않기 때문이다. "비-유동" 프로세스에 의해 RTP 챔버(500)내에서 형성된 이산화 실리콘 필름(620)의 두께 균일도는, 프로세싱 중에 챔버 내외로 가스가 유동하는 프로세스를 이용하여 형성된 이산화 실리콘 필름의 두께 균일도 보다 약 10배 더 크다. 예를 들어, 본 명세서에 기재된 "비-유동" 프로세스를 이용하여 약 1000℃의 온도에서 형성된 약 20 옹스트롬의 이산화 실리콘 필름은 약 0.5% 이하의 균일도(두께 편차)를 가질 것이다.
이어서, RTP 챔버(500)내의 온도가 대략적인 상온으로 냉각된다. RTP 챔버(500)는 배기부(530)에서 압력 제어 밸브를 개방함으로써 냉각된 반응 가스를 배출한다. 이어서, 질소와 같은 퍼지 가스가 개구부(540)에서 RTP 챔버(500)내로 유동할 것이다. 이제, RTP 챔버(500)내의 압력은, 기판(506)을 크러스터 툴(cluster tool)내의 이송 챔버로 이송하고 추가적인 프로세싱을 위해 다른 챔버내로 위치시키기 위한 이송 압력이 될 것이다.
다른 실시예에서, 질화 실리콘(Si3N4) 필름이 이러한 프로세스에 의해 실리콘 웨이퍼상에 형성될 수 있다. 질화 실리콘 필름을 이용하여 박막 커패시터를 형성할 수 있을 것이며, 그 필름은 약 30 옹스트롬 미만의 두께를 가질 것이다. RTP 챔버(500)내에서 그리고 암모니아(NH3) 가스를 이용하여 그 암모니아 가스가 반응할 수 있는 700℃ 이상, 특히 900℃ 이상의 온도에서 질화 실리콘 필름을 형성할 수 있다. 질화 실리콘 필름을 형성하기 위한 챔버내의 압력은 약 400 Torr 이상이다. 질화 실리콘 필름의 두께는 약 10Å 내지 25Å이다. 질화 실리콘 필름은 30초 내지 2분의 시간동안 성장될 것이다. 반응 가스의 반응은 RTP 챔버(500)내의 온도를 감소시킴으로써 늦어지거나 중단될 수 있다.
다른 실시예에서, 이러한 프로세스에 의해 산질화물(oxynitride) 필름이 실리콘 웨이퍼상에 형성될 수 있다. N2O 가스를 이용하여 산질화물 층을 성장시키는 것은 압력 및 유동 구배에 민감하며, "비-유동" 프로세스에서 유리할 것이다. N2O 또는 NO와 같은 반응 가스를 이용하여 산질화물 필름을 형성할 수 있다. 이러한 가스들이 700℃ 이상, 특히 800℃ 이상의 온도와 약 10 Torr 내지 700 Torr의 압력에서 반응하여 산질화물 필름을 형성한다. 산질화물 필름은 약 10Å 내지 50Å의 두께를 가진다. 산질화물 필름은 30초 내지 2분의 시간내에 성장될 것이다. 반응 가스들의 반응은 RTP 챔버(500)내의 온도를 감소시킴으로써 늦어지거나 중단될 수 있다.
다른 실시예에서, 소수 종 이용 프로세스가 CVD 챔버(800)내에서 화학기상증착(CVD)에 의해 박막 필름을 형성하는 프로세스일 수 있다. 도 7은 CVD에 의해 기판(810)상에 필름을 형성하는 프로세스의 흐름도이다. CVD 챔버(800)는 도 8에 도시된 고온 저압 CVD(LPCVD) 장치일 수 있다. 기판(810)은 실리콘 웨이퍼, 또는 다른 타입의 절연체 기판상의 실리콘 또는 반도체일 수 있다. 기판(810)은 이송 블레이드(841)에 의해 유입 포트(840)를 통해 CVD 챔버(800)의 내부(890)에 위치된다. 이송 블레이드(841)는 기판(810)을 승강기 조립체(865)의 승강 핀(895)상에 위치시킨다. 이어서, 이송 블레이드(841)가 챔버(800)로부터 제거되고, 승강 조립체가 상향 이동하여 서셉터(805)를 기판(810)과 접촉시킨다. 서셉터(805)는 서셉터(805)의 단면부에 도시된 저항 가열 요소(880)를 포함한다. 가열 요소(880)는 프로세싱 중에 서셉터(805) 및 기판(810)을 가열할 것이다. 대안적인 실시예에서, 서셉터(805)가 저항 가열 요소(880)를 포함하지 않을 수 있고, 웨이퍼(810) 및 서셉터(805)가 챔버(800)내에서 서셉터(805)의 위아래 모두에 위치된 가열 램프에 의해 가열될 수도 있다. 블록(701)에서, 반응 가스가 기판(810)을 수용하는 CVD 챔버(800)의 내부(890)로 유동된다. 반응 가스는 매니폴드(도시 안 됨), 분배 포 트(820), 블록커(blocker) 플레이트(824), 및 샤워헤드(825)를 통해 내부(890)로 유동된다. 다른 대안적인 실시예에서, 매니폴드 및 샤워헤드(825)가 없이 단순한 분배 포트(820)만을 이용하여 반응 가스를 내부(890)로 유동시킬 수도 있다. 통상적으로, 매니폴드 및 샤워헤드는, 내부(890)로의 가스 유동을 유지하면서도 프로세싱 중에 내부(890)로 특정 양의 반응 가스를 균일하게 분배하기 위해 이용된다. 프로세싱 중에 반응 가스가 내부(890)로 유동하지 않기 때문에, 매니폴드 및 샤워헤드가 불필요하다. 소수 종 이용 프로세스에 충분한 양의 반응 가스가 챔버내에 존재할 때까지, 반응 가스가 CVD 챔버(800)의 내부(890)로 유동된다.
일 실시예에서, 소수 종 이용 프로세스는 CVD에 의한 박막 필름 형성 프로세스이다. 박막 필름은 실리콘 기판상에 형성된 단결정 에픽텍셜 층, 폴리실리콘 층, 또는 비정질 실리콘과 같은 실리콘 필름일 수 있다. 도 9는 실리콘 에피텍셜 층(910)이 실리콘 기판(810)상에 걸쳐 형성된 실시예를 도시한다. 실리콘 기판(810)상에 임의의 실리콘 필름을 형성하기 위해, 반응 가스는 수소(H2)와 같은 캐리어 가스와 조합된 실란(SiH4) 또는 디클로로실란(SiH2Cl2)와 같은 실리콘 함유 가스일 수 있다. 실리콘 함유 가스와의 혼합물내의 수소의 양은 약 90% 내지 98%일 수 있다. 특정 두께의 에피텍셜 실리콘 필름(910)을 형성하기에 충분한 반응 가스의 양이 될 때까지 반응 가스가 CVD 챔버(800)내로 유동된다. 단결정 에피텍셜 필름(910)의 두께는 약 20 옹스트롬 내지 500 옹스트롬, 특히 약 100 옹스트롬일 것이다. 블록(702)에서 CVD 챔버(800)내의 압력이 안정화될 때까지, 내부(890)로의 반응 가스의 유동이 중단되지 않는다. CVD 챔버(800)내의 안정화된 압력은 약 10 Torr - 700 Torr, 특히 약 100 Torr일 수 있다. 일 실시예에서, 진공 펌프를 통해 CVD 챔버(800)의 외부로 점점 느려지는 유량으로 가스를 유동시키고, CVD 챔버(800)의 내부(890) 압력이 안정화될 때까지 압력 제어 밸브를 조정함으로써, CVD 챔버(800)내의 압력을 안정화시킨다. 유량을 감소시킴으로써 압력이 안정화되면, 압력 제어부가 프로세싱 중에 안정한 압력을 유지한다. 대안적인 실시예에서, CVD 챔버(800)의 내부 체적의 압력 안정화에 관한 모든 파라미터를 제어하도록 소프트웨어를 프로그램할 수 있다. 이러한 실시예에서, 가스 유량은 장치-판독 매체가 결합된 시스템 제어부에 의해 감소되며, 상기 장치-판독 매체는 가스 유량의 감소를 제어하는 명령어 세트를 저장하는 메모리를 가진다. 가스 유량은 CVD 챔버(800)내에서 소정 압력이 얻어질 때까지 감소되고, 이어서 가스 유동이 중단되는 동안 시스템 제어부에 결합된 장치-판독 매체의 메모리에 저장된 명령어 세트가 CVD 챔버(800) 내부의 압력을 안정화시킨다. 가스 유동의 중단에 앞서서 CVD 챔버(800) 내부의 온도는 반응 가스 또는 가스들의 반응을 유발하지 못하는 온도이다. 일 실시예에서, 가스 유동의 중단에 앞서서 CVD 챔버(800) 내부(890)의 온도는 대략적으로 상온일 것이다.
블록(703)에서, CVD 챔버(800)의 내부(890)를 향한 반응 가스의 유동이 중단된다. 이어서, 반응 가스 또는 가스들이 반응하여 박막 에피텍셜 필름(910)을 기판(810)상에 형성하기에 충분한 온도까지 기판(810)의 온도를 높인다. 기판(810)은 서셉터(805) 내부의 저항 가열 요소(880)에 의해 가열되는 서셉터(805)에 의해 가열된다. 온도 상승 속도는 약 25℃/초 - 75℃/초, 특히 약 50℃/초일 것이다. 웨이퍼가 가열되는 온도는 약 400℃ - 900℃, 특히 약 600℃ - 800℃일 것이다. 형성되는 실리콘 층의 타입은 실리콘 층이 성장하는 안정화 온도에 의해 제어될 수 있을 것이다. 일반적으로, 낮은 온도에서는 비정질 실리콘이 형성될 것이고, 온도가 높아지면 형성되는 실리콘의 타입은 비정질로부터 폴리실리콘으로 그리고 단결정으로 변화될 것이다. 기판(810)의 온도가 반응 온도까지 높아지면, 기판(810)의 온도가 원하는 두께의 에피텍셜 실리콘 필름(910)을 성장시키기에 충분한 시간동안 안정화된다. 반응 온도에서, 반응 가스가 고온 기판 표면상에서 분해되고, 분해된 반응물은 기판상에서 에피텍셜 실리콘 필름(910)을 성장시킨다. 단결정 에피텍셜 필름(910)의 두께는 약 20 옹스트롬 내지 500 옹스트롬, 특히 약 100 옹스트롬일 것이다. 기판 및 서셉터 가열시에 가열 램프들을 이용하는 실시예에서, 기판상에 에피텍셜 실리콘 필름(910)을 형성하면서 기판의 중앙 축선을 중심으로 약 20 rpm 내지 50 rpm의 회전 속도로 수평방향으로 기판을 회전시킬 수 있다. 본 명세서에서 설명된 "비-유동" 프로세스를 이용함으로써, 단결정 에피텍셜 필름(910)의 두께 균일도가 개선될 것이다. 필름(910)의 두께 균일도가 개선되는데, 이는 단결정 에피텍셜 필름(910)의 성장 중에 반응 가스들이 CVD 챔버(800) 내외로 유동하여 유동 및 압력 구배를 초래하지 않기 때문이다.
이어서, 기판(810)의 냉각을 위해, CVD 챔버(800) 내부 및 서셉터(805)의 온도를 대략적인 상온까지 냉각시킨다. 이어서, 가스 배출구(830)에 위치된 압력 제어 밸브(도시 안 됨)를 개방함으로써 냉각된 반응 가스를 CVD 챔버(800)로부터 배 출한다. 이어서, 수소(H2) 또는 질소(N2)와 같은 퍼지 가스가 CVD 챔버(800)의 내로 유동된다. 이제, CVD 챔버(800)내의 압력은, 기판(810)을 크러스터 툴내의 이송 챔버로 이송하고 추가적인 프로세싱을 위해 다른 챔버내로 위치시키기 위한 이송 압력이 될 것이다.
대안적인 실시예에서, CVD에 의한 "비-유동" 소수 종 이용 프로세스에서 형성된 필름은 이산화 실리콘 또는 질화 실리콘일 수 있다. 이산화 실리콘 및 질화 실리콘과 같은 기타 비정질 필름을 성장시키기 위한 파라미터들은 에피텍셜 실리콘 필름을 형성하기 위한 파라미터들과 유사할 것이다. 큰 차이점은, SiH4, Si2H6, 또는 Si2H2Cl2와 같은 주요 실리콘 전구체에 더하여 산소나 암모니아와 같은 기타 가스들이 도입된다는 점이다. 온도 및 압력은 에피텍셜 실리콘의 성장에 이용된 것과 약간 상이할 것이다.
본 명세서에서 설명된 "비-유동" 소수 종 이용 실시예는 본 발명의 일부 용도의 예를 든 것이다. 프로세싱 중에 반응 챔버내로의 가스 유동을 중단하는 것은 원자층 증착이나 도펀트 주입과 같은 기타 소수 종 이용 프로세스에도 확대될 수 있는 개념이다. 본원 명세서에서 설명되는 특정 실시예들은 본 발명에 대한 예시적인 실시예일 분만 아니라, 소위 당업자는 일부 구성을 치환하거나 삭제할 수 있다는 것을 이해하여야 한다. 그러한 경우에, 출원인의 발명의 범위는 특허청구범위에 의해 결정된다.

Claims (45)

  1. 챔버내로 가스를 유동시키는 단계;
    상기 챔버내로의 가스 유동을 중단하는 단계; 및
    상기 챔버내의 압력 및 유동 구배를 최소화한 후에 소수 종 이용 프로세스를 실시하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 챔버내로의 가스 유동을 중단하는 단계가 상기 챔버내의 압력을 안정화시키는 단계, 상기 챔버의 게이트 밸브를 폐쇄하는 단계, 및 상기 챔버내로의 가스 유동이 중단되는 동안에 상기 챔버내의 압력을 유지하는 단계를 포함하는 방법.
  3. 제 1항에 있어서, 상기 소수 종 이용 프로세스를 실시하는 단계는 두께가 30 옹스트롬 미만인 필름을 증착하는 단계를 포함하는 방법.
  4. 제 1항에 있어서, 상기 소수 종 이용 프로세스를 실시하는 단계는 기판내로 원자를 약 1 x e14 원자/cm2 내지 1 x e16 원자/cm2로 확산시키는 단계를 포함하는 방법.
  5. 제 1항에 있어서, 상기 소수 종 이용 프로세스를 실시하는 단계가 디커플드 플라즈마 질화를 포함하는 방법.
  6. 제 1항에 있어서, 상기 소수 종 이용 프로세스를 실시하는 단계가 필름 증착을 위한 고속 열처리 프로세싱을 포함하는 방법.
  7. 제 1항에 있어서, 상기 소수 종 이용 프로세스를 실시하는 단계가 화학기상증착을 포함하는 방법.
  8. 제 7항에 있어서, 상기 화학기상증착이 기판상에서 에피텍셜 층을 성장시키는 단계를 포함하는 방법.
  9. 제 1항에 있어서, 상기 소수 종 이용 프로세스를 실시하는 단계가 원자층 증착을 포함하는 방법.
  10. 플라즈마 챔버내로 가스를 유동시키는 단계;
    상기 플라즈마 챔버내로의 가스 유동을 중단하는 단계; 및
    상기 플라즈마 챔버내로의 가스 유동을 중단한 후에 플라즈마를 충돌시키는 단계를 포함하는 방법.
  11. 제 10항에 있어서, 상기 플라즈마 충돌 단계 전에 상기 플라즈마 챔버내의 압력을 안정화시키는 단계를 더 포함하는 방법.
  12. 제 10항에 있어서, 상기 플라즈마 충돌 단계 중에 상기 플라즈마 챔버내에서 안정한 압력을 유지하는 단계를 더 포함하는 방법.
  13. 제 10항에 있어서, 상기 플라즈마 충돌 단계 중에 가스를 기판내로 확산시키는 단계를 더 포함하는 방법.
  14. 제 13항에 있어서, 상기 가스를 기판내로 확산시키는 단계는 질소 가스를 이산화 실리콘 게이트내로 확산시키는 단계를 포함하는 방법.
  15. 내부 압력을 가지는 디커플드 플라즈마 질화 챔버내로 질소 가스를 유동시키는 단계;
    상기 디커플드 플라즈마 질화 챔버의 게이트 밸브를 폐쇄하는 단계;
    상기 디커플드 플라즈마 질화 챔버의 내부 압력을 안정화하여 안정한 압력을 얻는 내부 압력 안정화 단계;
    상기 디커플드 플라즈마 질화 챔버내로의 가스 유동 중단 중에 상기 디커플드 플라즈마 질화 챔버내에서 안정한 압력을 유지하는 단계; 및
    상기 챔버의 내부 압력의 안정화 후에 상기 챔버내로의 질소 가스 유동을 중 단한 후에 플라즈마를 충돌시키는 단계를 포함하는 방법.
  16. 제 15항에 있어서, 상기 플라즈마를 충돌시키는 단계는 300mm 웨이퍼상의 이산화 실리콘 필름내로 질소를 약 1 x 1014 원자/cm2 내지 8 x 1014 원자/cm2로 확산시키는 단계를 포함하는 방법.
  17. 제 15항에 있어서, 상기 플라즈마를 충돌시키는 단계는 상기 플라즈마 질화 챔버의 지붕 주변에 코일을 제공하는 단계 및 무선 주파수(RF) 파워를 이용하여 상기 코일에 에너지를 인가하는 단계를 포함하는 방법.
  18. 제 15항에 있어서, 상기 디커플드 플라즈마 질화 챔버의 내부 압력을 안정화시키는 내부 압력 안정화 단계는 상기 내부 압력을 약 5 mTorr 내지 95 mTorr로 만드는 단계를 포함하는 방법.
  19. 제 15항에 있어서, 상기 디커플드 플라즈마 질화 챔버의 내부 압력을 안정화시키는 내부 압력 안정화 단계는 상기 내부 압력을 약 20 mTorr로 만드는 단계를 포함하는 방법.
  20. 제 15항에 있어서, 플라즈마 충돌 중에 상기 안정화된 내부 압력을 유지하는 단계를 더 포함하는 방법.
  21. 제 15항에 있어서, 상기 디커플드 플라즈마 질화 챔버내에서 안정한 압력을 유지하는 단계는 질소 가스 유량을 약 10 sccm/초 내지 50 sccm/초로 감소시키는 단계를 포함하는 방법.
  22. 제 15항에 있어서, 질소를 기판내로 주입하는 단계가 약 30 W 내지 300 W 의 유효 무선 주파수를 인가하는 단계를 포함하는 방법.
  23. 제 15항에 있어서, 질소를 기판내로 주입하는 단계가 약 150 W 의 유효 무선 주파수를 인가하는 단계를 포함하는 방법.
  24. 기판을 포함하는 고속 열처리 프로세싱 챔버내로 반응 가스를 유동시키는 단계;
    상기 반응 가스의 반응을 일으키지 않는 제 1온도에서 상기 고속 열처리 프로세싱 챔버내로의 가스 유동을 중단시키는 단계;
    상기 고속 열처리 프로세싱 챔버내로의 가스 유동을 중단한 후에, 상기 제 1온도로부터 상기 반응 가스의 반응을 유발하기에 충분한 제 2온도로 온도를 상승시키는 단계; 및
    상기 제 2온도에서 기판상에 필름을 형성하는 단계를 포함하는 방법.
  25. 제 24항에 있어서, 상기 챔버내로 반응 가스를 유동시키는 단계가 수소(H2) 및 산소(O2) 가스의 혼합물을 상기 챔버내로 유동시키는 단계를 포함하는 방법.
  26. 제 24항에 있어서, 상기 챔버내로 반응 가스를 유동시키는 단계가 산소 가스를 상기 챔버내로 유동시키는 단계를 포함하는 방법.
  27. 제 24항에 있어서, 고속 열처리 프로세싱 챔버내로 반응 가스를 유동시키는 단계는 필름을 약 5 옹스트롬 내지 50 옹스트롬의 두께로 성장시키기에 충분한 양의 반응 가스를 유동시키는 단계를 포함하는 방법.
  28. 제 24항에 있어서, 상기 고속 열처리 프로세싱 챔버내로의 가스 유동을 중단시키기 전에 반응 가스의 반응을 유발하지 않는 제 1온도에서 상기 고속 열처리 프로세싱 챔버내의 내부 압력을 안정화시키는 단계를 더 포함하는 방법.
  29. 제 24항에 있어서, 필름을 웨이퍼상에 형성하는 단계가 이산화 실리콘 필름을 증착하는 단계를 포함하는 방법.
  30. 제 24항에 있어서, 상기 제 2온도가 약 800℃ 내지 1100℃의 온도인 방법.
  31. 기판을 포함하는 화학기상증착 챔버내로 반응 가스를 유동시키는 단계;
    상기 반응 가스의 반응을 일으키지 않는 제 1온도에서 상기 화학기상증착 챔버내로의 가스 유동을 중단시키는 단계;
    상기 화학기상증착 챔버로의 가스 유동을 중단한 후에, 상기 제 1온도로부터 상기 반응 가스의 반응을 유발하기에 충분한 제 2온도로 온도를 상승시키는 단계; 및
    상기 제 2온도에서 기판상에 필름을 형성하는 단계를 포함하는 방법.
  32. 제 31항에 있어서, 상기 화학기상증착 챔버내로 반응 가스를 유동시키는 단계가 실리콘 함유 가스, 수소 가스 및 마커(marker)의 혼합물을 상기 화학기상증착 챔버내로 유동시키는 단계를 포함하는 방법.
  33. 제 31항에 있어서, 상기 화학기상증착 챔버내로 반응 가스를 유동시키는 단계는 필름을 약 5 옹스트롬 내지 500 옹스트롬의 두께로 성장시키기에 충분한 양의 반응 가스를 유동시키는 단계를 포함하는 방법.
  34. 제 31항에 있어서, 상기 화학기상증착 챔버내로 반응 가스를 유동시키는 단계는 필름을 약 100 옹스트롬의 두께로 성장시키기에 충분한 양의 반응 가스를 유동시키는 단계를 포함하는 방법.
  35. 제 31항에 있어서, 상기 화학기상증착 챔버내로의 가스 유동을 중단시키기 전에 반응 가스의 반응을 유발하지 않는 제 1온도에서 상기 화학기상증착 챔버내의 내부 압력을 안정화시키는 단계를 더 포함하는 방법.
  36. 제 31항에 있어서, 상기 기판상에 필름을 형성하는 단계가 에피텍셜 실리콘 층을 실리콘 웨이퍼상에서 성장시키는 단계를 포함하는 방법.
  37. 제 31항에 있어서, 상기 기판상에 필름을 형성하는 단계가 에피텍셜 폴리실리콘 층을 실리콘 웨이퍼상에서 성장시키는 단계를 포함하는 방법.
  38. 제 31항에 있어서, 상기 기판상에 필름을 형성하는 단계가 비정질 실리콘 층을 실리콘 웨이퍼상에서 성장시키는 단계를 포함하는 방법.
  39. 제 31항에 있어서, 상기 기판상에 필름을 형성하는 단계가 이산화 실리콘 층을 실리콘 웨이퍼상에서 성장시키는 단계를 포함하는 방법.
  40. 제 31항에 있어서, 상기 기판상에 필름을 형성하는 단계가 질화 실리콘 층을 웨이퍼상에서 성장시키는 단계를 포함하는 방법.
  41. 기판 프로세싱 시스템으로서:
    프로세스 챔버;
    상기 프로세스 챔버를 제어하는 시스템 제어부; 및
    상기 제어부에 결합된 장치-판독 매체를 포함하며;
    상기 장치-판독 매체는 상기 프로세스 챔버의 압력 안정화 작업을 제어하는 명령어 세트를 저장하는 메모리를 가지며;
    상기 명령어 세트는, 상기 프로세스 챔버로의 가스 유량을 감소시키는 단계, 상기 프로세스 챔버의 게이트 밸브를 폐쇄하기 전에 상기 프로세스 챔버내의 압력을 안정화시키는 단계, 및 상기 프로세스 챔버로의 가스 유동이 중단되는 동안 상기 프로세스 챔버내에서 압력을 유지하는 단계에 의해, 상기 프로세스 챔버내의 압력 안정화의 모든 파라미터를 추가로 제어하는; 기판 프로세싱 시스템.
  42. 제 41항에 있어서, 상기 프로세스 챔버가 디커플드 플라즈마 질화 챔버인 기판 프로세싱 시스템.
  43. 제 41항에 있어서, 상기 프로세스 챔버가 고속 열처리 프로세싱 챔버인 기판 프로세싱 시스템.
  44. 제 41항에 있어서, 상기 프로세스 챔버가 화학기상증착 챔버인 기판 프로세싱 시스템.
  45. 제 41항에 있어서, 상기 명령어 세트는, 상기 프로세스 챔버로의 가스 유동 중단 후에, 소수 종 이용 프로세스 실시 단계에 의해, 프로세스 챔버내의 압력 안정화의 모든 파라미터를 추가로 제어하는 기판 프로세싱 시스템.
KR1020077004382A 2004-08-09 2005-08-04 소수 종 이용 프로세스에서 유동 및 압력 구배 제거를 위한방법 및 장치 KR20070042190A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/914,964 US7955646B2 (en) 2004-08-09 2004-08-09 Elimination of flow and pressure gradients in low utilization processes
US10/914,964 2004-08-09

Publications (1)

Publication Number Publication Date
KR20070042190A true KR20070042190A (ko) 2007-04-20

Family

ID=35395976

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077004382A KR20070042190A (ko) 2004-08-09 2005-08-04 소수 종 이용 프로세스에서 유동 및 압력 구배 제거를 위한방법 및 장치

Country Status (5)

Country Link
US (1) US7955646B2 (ko)
JP (1) JP5042022B2 (ko)
KR (1) KR20070042190A (ko)
CN (1) CN101010783A (ko)
WO (1) WO2006020513A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100953825B1 (ko) * 2007-05-28 2010-04-20 한국전자통신연구원 급속 열증착을 이용한 금속산화물 나노구조물 제조방법

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
KR20080102065A (ko) * 2007-05-18 2008-11-24 삼성전자주식회사 에피택시얼 실리콘 구조물 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US8062472B2 (en) * 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101113328B1 (ko) * 2009-12-30 2012-03-13 주식회사 하이닉스반도체 반도체소자의 도전막 형성방법
US9705028B2 (en) 2010-02-26 2017-07-11 Micron Technology, Inc. Light emitting diodes with N-polarity and associated methods of manufacturing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6199744B2 (ja) * 2011-12-20 2017-09-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および気化装置
US20130344688A1 (en) * 2012-06-20 2013-12-26 Zhiyuan Ye Atomic Layer Deposition with Rapid Thermal Treatment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10504721B2 (en) 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111540673B (zh) * 2020-07-07 2020-10-16 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112420731B (zh) * 2020-11-17 2021-12-17 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05267186A (ja) * 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JPH08225394A (ja) * 1995-10-30 1996-09-03 Nachi Fujikoshi Corp ダイヤモンドの気相合成方法
JPH09162126A (ja) * 1995-12-04 1997-06-20 Hitachi Ltd 化学気相成長装置
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6627463B1 (en) 2000-10-19 2003-09-30 Applied Materials, Inc. Situ measurement of film nitridation using optical emission spectroscopy
US6610615B1 (en) 2000-11-15 2003-08-26 Intel Corporation Plasma nitridation for reduced leakage gate dielectric layers
TW580730B (en) 2001-03-09 2004-03-21 Macronix Int Co Ltd Method of forming a silicon oxide layer with different thickness using pulsed nitrogen plasma implantation
US6548366B2 (en) 2001-06-20 2003-04-15 Texas Instruments Incorporated Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6503846B1 (en) 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6426305B1 (en) 2001-07-03 2002-07-30 International Business Machines Corporation Patterned plasma nitridation for selective epi and silicide formation
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
US6566205B1 (en) 2002-01-11 2003-05-20 Taiwan Semiconductor Manufacturing Company Method to neutralize fixed charges in high K dielectric
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
JP4094901B2 (ja) * 2002-07-10 2008-06-04 東京エレクトロン株式会社 成膜方法
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6649538B1 (en) 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
JP4303662B2 (ja) * 2003-09-08 2009-07-29 パナソニック株式会社 プラズマ処理方法
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100953825B1 (ko) * 2007-05-28 2010-04-20 한국전자통신연구원 급속 열증착을 이용한 금속산화물 나노구조물 제조방법

Also Published As

Publication number Publication date
WO2006020513A1 (en) 2006-02-23
US20060029747A1 (en) 2006-02-09
JP5042022B2 (ja) 2012-10-03
JP2008509573A (ja) 2008-03-27
US7955646B2 (en) 2011-06-07
CN101010783A (zh) 2007-08-01

Similar Documents

Publication Publication Date Title
US7955646B2 (en) Elimination of flow and pressure gradients in low utilization processes
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
US6251188B1 (en) Apparatus for forming laminated thin films or layers
KR101379089B1 (ko) 금속 하드 마스크 제조
JP5252417B2 (ja) 深トレンチのドープシリコン充填のプロセスシーケンス
JP5501413B2 (ja) プラズマ誘発損傷を減少させる方法
TW201936970A (zh) 用於氮化矽薄膜的處理
US7335266B2 (en) Method of forming a controlled and uniform lightly phosphorous doped silicon film
US6783627B1 (en) Reactor with remote plasma system and method of processing a semiconductor substrate
KR20060054387A (ko) 증착 전 게르마늄 표면 처리 방법
JPH10144628A (ja) 薄膜の改良堆積法
JP7474805B2 (ja) 遠隔プラズマによる酸化へのアルゴン添加
KR20060063188A (ko) 화학기상증착장치 및 그를 이용한 화학기상증착방법
US20210280428A1 (en) System and method for radical and thermal processing of substrates
JP2011166060A (ja) 基板処理装置及び半導体装置の製造方法
US6410434B1 (en) Method and apparatus for formation of in-situ doped amorphous semiconductor film
CN113243039A (zh) 生长掺杂iv族材料的方法
US20100203243A1 (en) Method for forming a polysilicon film
KR20070098125A (ko) 화학기상증착방법
WO2007035041A1 (en) Method of and apparatus for fabricating thermal oxide film using single chamber-type cvd apparatus
JP2022173989A (ja) 窒化チタン膜の成膜方法、及び窒化チタン膜を成膜する装置
JP2002118070A (ja) 半導体装置の製造方法
JPH01187922A (ja) 半導体製造装置
KR20000015476A (ko) 반도체장치 제조용 공정튜브의 내부온도 제어방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
NORF Unpaid initial registration fee