JP2008509573A - 低利用度プロセスにおける流れ及び圧力勾配の除去 - Google Patents

低利用度プロセスにおける流れ及び圧力勾配の除去 Download PDF

Info

Publication number
JP2008509573A
JP2008509573A JP2007525680A JP2007525680A JP2008509573A JP 2008509573 A JP2008509573 A JP 2008509573A JP 2007525680 A JP2007525680 A JP 2007525680A JP 2007525680 A JP2007525680 A JP 2007525680A JP 2008509573 A JP2008509573 A JP 2008509573A
Authority
JP
Japan
Prior art keywords
chamber
gas
substrate
flow
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007525680A
Other languages
English (en)
Other versions
JP5042022B2 (ja
Inventor
ジェームズ, ピー. クルーズ,
アンドレアス, ジー. ヘゲダス,
サシーシュ クップラオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008509573A publication Critical patent/JP2008509573A/ja
Application granted granted Critical
Publication of JP5042022B2 publication Critical patent/JP5042022B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

基板内へ拡散される原子の量又は薄膜の厚さは、低種子利用度プロセス中に反応チャンバ内へのガスの流れを停止することによって均一とされる。反応チャンバ内へのガスの流れの停止は、ゲート弁(真空ポンプへの弁)を閉じ、反応チャンバ内の圧力を安定化し、チャンバ内へのガスの流れを停止している間安定化された圧力を維持することを伴う。低種子利用度プロセスは、デカップルドプラズマ窒化(DPN)により二酸化シリコンゲート誘電体層内へ窒素を拡散し、急速加熱処理(RTP)又は化学気相堆積(CVD)により二酸化シリコン膜を堆積し、CVDによりシリコンエピタキシャル層を堆積することを含む。
【選択図】 図1

Description

発明の背景
1.発明の分野
[0001]本発明は、半導体製造及び処理の分野に係り、特に、デカップルドプラズマ窒化、急速加熱処理及び化学気相堆積によって達成される低利用度プロセスに関する。
2.関連技術の説明
[0002]低種子利用度プロセス(Low speciesutilization process)とは、デカップルドプラズマ窒化(DPN)により窒素を二酸化シリコンゲート誘電体層へ拡散し、急速加熱処理(RTP)又は化学気相堆積(CVD)により二酸化シリコン膜を堆積し、CVDによりシリコンエピタキシャル層を堆積することを含む。これらの低種子利用度プロセスの各々においては、そのプロセスが行われる基板に亘って非常に一様な薄膜又は原子の拡散を得ることが重要である。何故ならば、デバイスをより小さくしていくにつれて、より薄い膜及び原子の基板へのより低い濃度拡散が必要とされるからである。また、より薄い膜及び原子の基板へのより低い濃度拡散とするためには、基板に亘っての膜厚又は拡散濃度の変動をほとんどなくすることが必要となる。
[0003]二酸化シリコンゲート誘電体への窒化物拡散は、デカップルドプラズマ窒化(DPN)チャンバにて行われる。二酸化シリコンゲート誘電体が形成される基板を含むチャンバ内へ窒素ガスが流され、その流れを継続しながらプラズマが発生される。プラズマは、窒素をイオン化し、それから、そのイオン化された窒素が二酸化シリコンゲート誘電体へ拡散していく。
[0004]急速加熱処理(RTP)による二酸化シリコン膜の形成は、RTPチャンバにて行われる。水素(H)及び酸素(O)ガスがRTPチャンバ内へ流され、水素及び酸素ガスがシリコン基板と反応して二酸化シリコン層を形成する温度までシリコン基板が加熱される。
[0005]化学気相堆積(CVD)によるエピタキシャル層の形成は、CVDチャンバにて行われる。堆積すべき材料の種類の前駆体ガスが、チャンバ内へ流され、これは、キャリア又は希釈ガスと一緒に流されることが多くある。ガスが流されている間、前駆体ガスが反応して蒸気を形成し基板上に膜を形成する温度までチャンバが加熱される。
[0006]これらのプロセスの各々を通じて、ガスはチャンバを通して流され、チャンバ内の圧力は、チャンバの異なる部分において異なっている。圧力勾配は、チャンバ内へのガスの一定の流れ及びチャンバから送り出されるガスの流れによっている。これらの流れ及び圧力勾配は、基板内へ拡散される原子の量又は基板上に形成される膜の厚さが基板に亘って不均一とされてしまう主たる要因である。
[0007]流れ及び圧力勾配によって生ぜしめられる不均一を減少させるため、反応チャンバに対して幾つかの変更がなされている。これらの変更としては、ポンピングプレート、ガス分配プレート及びシャワーヘッドに関するものがある。ポンピングプレートは、チャンバ内へのガスの流れ及びチャンバからのガスの流れによって生ぜしめられる流れ及び圧力勾配を制御するように設計される。ガス分配プレートは、流れ及び圧力勾配によって生ぜしめられるガスの不均一な分配を克服するようにチャンバを通じてガスを均等に分配するように設計される。シャワーヘッドは、流れ及び圧力勾配を克服するような特定の仕方でチャンバ内へ流されるガスを分配するように設計される。
[0008]反応チャンバにおけるこれらの変更によれば、供給源からポンプへのガスの流れによって発生される圧力及び流れ勾配を減ずることができる。しかし、これらの変更では、各プロセスに対して、特に、反応物質の消費が比較的に少ない低利用度プロセスに対して、十分な均一性が与えられない。
発明の概要
[0009]本発明の1つの態様によれば、低種子利用度プロセスは、反応チャンバ内において、ガスを反応チャンバ内へ流し、チャンバ内の圧力が安定化したときに反応チャンバ内へのガスの流れを停止し、チャンバ内へのガスの流れを停止した後チャンバ内の低種子利用度プロセスを行うことにより、行われる。この低種子利用度プロセスとしては、デカップルドプラズマ窒化、急速加熱処理による膜の堆積又は化学気相堆積による膜の堆積プロセスがある。
[0010]本発明の別の態様として、無流れ処理のために設計された反応チャンバについて説明する。
発明の詳細な説明
[0021]以下の説明において、種々な特定の詳細な説明は、本発明を十分に理解してもらうためのものである。当業者は、これら特定の詳細な説明が単に例示の目的のものであり、本発明の範囲を限定しようとするものでないことを理解するであろう。また、その他の場合において、よく知られた処理技術及び装置については、本発明を不必要に不明瞭なものとしないために、特に詳細には説明していない。
[0022]基板内へ拡散される原子の量は、低種子利用度プロセス中に反応チャンバへのガスの流れを停止することによって、低種子利用度プロセスにおいて均一とされる。反応チャンバ内へのガスの流れの停止は、ゲート弁(真空ポンプに対する弁)を閉じ、反応チャンバ内の圧力を安定化し、チャンバ内へ流れるガスを停止する間安定化された圧力を維持することを伴う。同様に、薄膜の厚さは、低種子利用度プロセス中に反応チャンバ内へのガスの流れを停止することによって、低種子利用度プロセスにおいて均一とされる。低種子利用度プロセスは、薄膜又は注入又は拡散が反応チャンバ内の反応物質の小部分のみを利用することによって行われるようなプロセスである。より詳細に述べると、低種子利用度プロセスは、薄膜が反応チャンバにおける反応物質のみを使用して形成されるようなプロセス又は基板の表面の1平方センチメートル当たり拡散又は注入される原子の量が約1×e14原子/cm及び1×e16原子/cmの範囲内にあるようなプロセスである。
[0023]低種子利用度方法においては、その低利用度プロセスのためチャンバに十分な量の反応物質が存在するようになるまで、ガスがそのチャンバ内へ流される。その後、反応チャンバ内へのガスの流れは、停止される。反応チャンバ内へのガスの流れの停止は、ゲート弁(真空ポンプへの弁)を閉じ、先ずその圧力を安定化し、それから、反応チャンバ内へのガスの流れ込みを停止している間、その圧力を維持することでチャンバ内の圧力を安定化することを伴う。チャンバ内の圧力が安定化されるとき、低種子利用度プロセスが行われる。ゲート弁を閉じ低種子利用度プロセスを行うチャンバ内の圧力を安定化することにより、基板内へ拡散される原子の量の不均一性又は基板上に堆積される薄膜の厚さの不均一性が最小とされ又は排除される。そのような不均一性が最小とされ又は排除される理由は、処理中にチャンバ内に圧力又は流れ勾配がもはや存在しなくなるからである。このような「無流れ(no-flow)」方法は、HaFxの如き高誘電率(K)膜又は二酸化シリコンゲート誘電体のデカップルドプラズマ窒化、及び急速加熱処理、化学気相堆積及び原子層堆積による薄膜の形成に適用される。
[0024]1つの実施形態においては、低種子利用度プロセスは、デカップルドプラズマ窒化(DPN)プロセスである。DPNプロセス中に二酸化シリコンゲート誘電体の如き基板内へ窒素が拡散される。図1は、本発明によるDNPプロセスのステップの概略を示すフローチャートである。ブロック101にて、単結晶シリコンウエハの如き基板が、基板ホルダー14上にて、図2に例示するようなDPNチャンバ10内に与えられる。DPNチャンバ内に置かれる基板の断面を図3に例示している。基板が基板ホルダー14の上面に位置するプラズマリアクタ10へ挿入される前に、基板上にエピタキシャルシリコン層54が形成される。また、基板がプラズマリアクタ10内へ挿入される前に、シリコン層54上に薄い二酸化シリコン層58が成長させられる。この二酸化シリコン層58は、数オングストローム(例えば、40オングストローム)程度の厚さであり、後で、最終的に製造されるトランジスタにおけるゲート誘電体層として使用される。
[0025]図2A及び図2Bに例示されているDPNチャンバ10は、異なる直径のウエハ又は基板、例えば、200mmウエハ又は300mmウエハに対して異なる設計とされている。このDPNチャンバ10は、200mmウエハを処理するのに使用されるチャンバの実施例である。このDPNチャンバ10は、下部移送チャンバ26及び移送機構28を含む。上部チャンバ12は、下部移送チャンバ26の上部に配置される。移送チャンバ26の内部空間30は、チャンバ12の底部の円形開口32を通して上部チャンバ12の内部空間24と連通している。基板ホルダー14は、移送機構28の上部に固定されており、その移送機構28は、基板ホルダー14を昇降させるのに使用される。
[0026]使用において、移送機構28は、基板ホルダー14が移送チャンバ26の内部空間30内へと下降させられるように作動させられる。それから、ロボットアームに取り付けられたブレード上に置かれた基板は、移送チャンバ26の壁のスリット弁開口を通して内部空間30内へと移送させられる。それから、移送機構28は、基板ホルダー14が基板の下面に接触し基板をブレードから持ち上げるように、基板ホルダー14を上昇させるように作動させられる。それから、ブレードは、移送チャンバ26から外され、その後、移送機構28が、再び、基板ホルダー14を開口32内へと上昇させるように作動させられる。基板ホルダー14上に置かれた基板の上面は、上部チャンバ12の内部空間24に対して露出させられている。上部チャンバ12は、主として、導電性本体36及び誘電体石英上部壁38を備える。導電性本体36は、チャンバ12の下方部分を構成しており、上部壁38は、上部チャンバ12の上方部分を構成している。導電性本体36及び上部壁38は、一緒になって、内部空間24を定めている。
[0027]4つのガスノズルポート40が導電性本体36を通して内部空間24へと形成されている。これらガスノズルポート40は、基板ホルダー14の周りに90度の間隔で配置されている。別の実施形態では、DPNチャンバ10は、基板ホルダー14の上方にガスノズルポートを設けるように設計される。導電性本体36は、また、その一方の側に真空ポンプチャネル42を定めている。ガスノズルポート40は、弁を介してガスマニホールドに接続されており、真空ポンプチャネル42は、ポンプに接続されている。ポンプが作動させられるとき、ガスが真空ポンプチャネル42を通して内部空間24から抽出させられ、内部空間24内の圧力が減少させられる。弁及びガスノズルポート40を通してマニホールド(図示していない)からガスが内部空間24内へと通されるように各弁が作動させられる。
[0028]特に図2Bを参照するに、上部壁38は、ドーム形状を有しており、電極プレート18は、この上部壁38の外側面と一致するようなドーム形状を有している。実際には、電極プレート18は、上部壁38のすぐ上に配置されている。電極プレート18は、上部壁38の中心部の上に円形開口44を作り出している。上部壁38及び電極プレート18は、垂直軸46の周りに対称とされている。コイル16は、垂直軸46及び開口44の周りに螺旋状とされている。コイル16は、電極プレート18上に配置されそのドーム形状と一致している。コイル16の一端は、RF源50に接続され、コイル16の他端は、グランド52に接続されている。
[0029]別の実施形態では、DPNチャンバは、無流れプロセスのため変更される。これらの変更として、42の如き真空ポンプチャネルが除去される。真空ポンプチャネルの目的は、処理中にチャンバからのガスの流れを調整して、基板内への窒素の拡散の不均一性を生ぜしめる流れ及び圧力勾配を最小とすることである。ガスが処理中にチャンバから引き出されるようなことはないのであるから、真空ポンプチャネルはもはや必要でないのである。また、処理中にガスがチャンバから引き出されないのであるから、ターボポンプ及び付随するターボスタックはもはや必要ないのである。処理中に大量のガスをチャンバから引き出すことはないのであるから、ターボポンプよりも低いポンプ能力のポンプを使用してもよいのである。また、流れ及び圧力勾配を最小とするため処理中にチャンバからのガスの流れを調整するためにターボポンプに通常付属させるターボスタックもまた必要でない。その上、圧力及び流れ勾配はもはや処理中の問題とはならないので、反応ガスは、どの位置でも、チャンバへ流し込まれ、また、チャンバから流し出されてもよく、チャンバへのガス入力及びチャンバからのガス出力のために単純なオン/オフ弁を使用することができる。単純なオン/オフ弁を使用できるので、複雑なガスマニホールド及びガス流コントローラを使用することも必要でなくなる。これらの変更は、急速加熱処理チャンバ、化学気相堆積チャンバ及び原子層堆積チャンバの如き、「無流れ」低種子利用度プロセスが使用されるどのような処理チャンバに対してもなすことができる。
[0030]ブロック102にて、基板がDPNチャンバ10内の所定位置に置かれるときに、そのDPNチャンバ10の内部空間24内へ窒素を含むガスが流される。窒素を含むガスは、純粋の窒素(N)、窒素及びヘリウムガスの混合体(N/He)、窒素及びネオンガスの混合体(N/Ne)、又は窒素及びアルゴンガスの混合体(N/Ar)又はNO(純粋又は不活性ガスと混合された)である。NOで行われる窒化の均一性は、「無流れ」プロセスによって大きく改善される。何故ならば、多種反応が生ずるようにNOが分解するからである。窒素ガスと混合されるヘリウム、ネオン又はアルゴンの如き不活性ガスの量は、ガス混合体の約95%までであり、より特定すると、ガス混合体の約30%−90%の範囲内である。ガス流が停止される前のDPNチャンバ10内への窒素ガスの流量は、約10sccm/秒−50sccm/秒の範囲内である。チャンバ内へ流される窒素ガスの量は、300mmウエハ基板に対して約1×1014原子/cm−8×1014原子/cmの注入を行えるに十分なものである。内部チャンバ24及びポンプチャネル42を含むチャンバの全体の内部空間は、約70リットルの容積を有する。チャンバの全体の内部空間は、ポンプチャネル42があるか否かによって、70リットルよりもはるかに小さくてよい。ポンプチャネル42は、全体の内部空間の約3分の2を占める。ブロック103にて、内部空間24内の圧力が安定化されるまで、窒素ガスがチャンバ内へ流される。約5秒の間圧力が所望の圧力の約0.1ミリトール内にあるとき、圧力が安定化されたという。1つの実施形態では、ゲート弁(真空ポンプへの弁−図示していない)を閉じた後、内部チャンバ24内の圧力は、内部空間24内の圧力が安定化されるまで、ガスを次第によりゆっくりとした割合で内部空間24内へと流すことによって、安定化される。圧力が流量を減少させることにより安定化されるとき、圧力コントローラは、処理中にその安定な圧力を維持する。別の実施形態では、ソフトウエアをプログラムしておくことにより、DPNチャンバ10の内部空間の圧力安定化のすべてのパラメータを制御する。この実施形態では、ガス流量の逓減を制御する命令セットを記憶したメモリを有する機械読取り可能な媒体を結合したシステムコントローラによって、ガス流量が逓減させられる。ガス流量は、所定の圧力がDPNチャンバ10内で達成されるまで逓減させられ、それから、ガスの流れが停止されている間、システムコントローラに結合された機械読取り可能な媒体のメモリに記憶された命令のセットにより、DPNチャンバ10内の圧力が安定化させられる。内部空間24内の安定化された圧力は、約0.1ミリトール−1000ミリトールの範囲内にあり、より特定すると、約5ミリトール及び95ミリトールの範囲内にあり、さらに特定すると、30ミリトールである。
[0031]ブロック104にて内部空間24へのガスの流れを停止した後、約1秒から5秒で、窒素イオン(N)のプラズマ22が、ブロック105にて、二酸化シリコン層58の上方の内部空間24内に発生される。シリコン基板上に亘って形成される二酸化シリコン層58の上方に形成される窒素イオン(N)のプラズマ22は、図3に例示されている。この窒素プラズマ22は、図2BのRF源50によって発生される。RF源は、約13.56MHzの周波数を発生する。RFコイルは、上部壁38に亘って電極プレート18によって広げられるRF場を発生する。円形開口44は、このRF場(field)が上部壁38を通して内部空間24内へ入るようにする。このRFは、10KHzの周波数でパルス化されてもよい。このRFパルスは、約30W−300Wの範囲の実効無線周波数電力レベルでもよい。この実効電力は、デューティーサイクルを乗じた電力である。例えば、ある実施形態として、デューティーサイクルが30%であり、全電力が500Wである場合には、その実効電力は、約150Wである。この実施形態では、RFは100ミリ秒毎に約33ミリ秒でパルス化されており、従って、約150ミリ秒の実効電力となる。
[0032]このRF場は、窒素ガスと結合し、小数の自由電子を励起する。そのとき、自由電子は、他の原子と衝突して、窒素原子からより多くの電子を解離させる。このプロセスは、窒素プラズマ22が一定量の自由電子及び自由イオン、一定の電子温度及びグランドに対する一定の電圧を有するような定常状態が達成されるまで、続けられる。このようにしてイオンの溜めが内部空間24内に生成され、図1のブロック106にて、窒素プラズマ22の電位により、このイオンの溜めからのイオンの二酸化シリコン層58内への拡散が助長される。基板及び基板ホルダー14の電位は、全プロセス中自由に浮動しているが、窒素プラズマ22の電圧及び基板ホルダー14の電圧には差があり、この差により、ブロック106での窒素イオンの二酸化シリコン層58への拡散が駆動されるのである。この拡散は、約1×1014原子cm−8×1014原子/cmを基板内へ注入させて、二酸化シリコン層58内に約4%−12%の窒素、より特定すると、7%−8%の窒素となるようにするのに十分な時間の間だけ行われる。窒素は、二酸化シリコン膜の全体に亘って拡散してもよい。何故ならば、二酸化シリコン膜の厚さは、約6Å及び16Åの範囲内であるからである。プラズマは、約2秒−120秒の範囲内の時間、より特定すると、15秒−45秒の範囲内の時間、さらに特定すると、30秒の時間の間だけ発生される。ガスが処理中に流されているようなプロセスと処理中に流れが遮断されているようなプロセスとの間の、プロセス中に二酸化シリコン層内へ拡散される窒素原子の均一性の差は、約75%である。
[0033]窒素プラズマ22からの原子の拡散の後、RFは、ターンオフされ、パージガスがDPNチャンバ10の内部空間24を通して流される。それから、基板がチャンバから外されて、急速加熱処理チャンバへと移送され、二酸化シリコン層58における窒素保持率を増大させるためアニールされる。窒素の拡散された二酸化シリコン層58が形成された基板は、約5秒及び120秒の間約700℃及び1200℃の範囲内の温度でアニールされる。
[0034]別の実施形態では、低種子利用度プロセスは、図5に例示したチャンバ500の如き急速加熱処理(RTP)チャンバを使用して基板上に薄膜を形成するプロセスである。1つの特定の実施形態においては、二酸化シリコン膜が、RTPチャンバ500において低種子利用度プロセスを使用してシリコン基板506上に形成される。シリコン基板506は、基板支持構造体508上にてRTPチャンバ500内に取り付けられる。図4は、この実施形態における各ステップのフローチャートである。ブロック401にて、シリコン基板506を含む図5に例示した急速加熱処理(RTP)チャンバ500内へ反応ガス520が流される。シリコン基板506は、単結晶シリコンウエハ又は絶縁体上シリコン(SOI)ウエハである。シリコン基板上に二酸化シリコン膜を形成するために使用される反応ガスは、酸素(O)及び水素(H)の混合物又は酸素(O)のみである。酸素(O)及び水素(H)の混合物である反応ガスが使用されるような実施形態においては、酸素及び水素が水分子を形成する。この実施形態では、水素(H)の量は、約1%−33%水素(H)であり、より特定すると、約2%水素(H)であり、その混合物の残りが酸素(O)である。ブロック402にて、チャンバ内の圧力が安定化されるまで、室温で反応ガスがRTPチャンバ500内へ流される。安定化される圧力は、5トール及び15トールの範囲内であり、さらに特定すると、約10トールである。1つの実施形態において、RTPチャンバ500内の圧力は、RTPチャンバ500内の圧力が安定化されるまで、排気口530の圧力制御弁を調整することにより、真空ポンプ(図示していない)により排気口530を通してRTPチャンバ500から次第によりゆっくりとした割合でガスを流し出すようにすることによって、安定化される。圧力が流量を減少させることにより安定化されるとき、圧力コントローラにより、処理中その安定な圧力が維持される。別の実施形態では、RTPチャンバ500の内部空間の圧力安定化のすべてのパラメータを制御するようにプログラムされたソフトウエアが使用される。この実施形態においては、ガス流量は、ガス流量の逓減を制御する命令のセットを記憶するメモリを有する機械読取り可能な媒体を結合したシステムコントローラによって逓減される。ガス流量は、RTPチャンバ500内に所定の圧力が得られるまで低減され、それから、システムコントローラに結合された機械読取り可能な媒体のメモリに記憶された命令のセットにより、ガスの流れが停止される間、RTPチャンバ500内の圧力が安定化される。ガスの流れを停止する前のRTPチャンバ500内の温度は、反応ガスの反応を生ぜしめるに十分な温度となっていない。酸素(O)及び水素(H)反応ガスの混合物が使用されるような実施形態では、ガスの流れを停止する前のRTPチャンバ500内の温度は、それら反応物質から水を形成するには十分でない温度である。H及びOの間の反応を生ぜしめるに十分な温度は、約600℃である。ある実施形態では、ガスの流れを停止する前のRTPチャンバ500内の温度は、ほぼ室温である。
[0035]ブロック403にて、RTPチャンバ500内へのガスの流れが停止される。それから、基板506は、反応ガスの反応を生ぜしめるための特定の温度まで上昇させられる。反応ガスがH及びOであるような一実施形態では、基板は、約600℃まで上げられる。基板は、基板506の上方に配置された加熱素子510によって加熱される。この加熱素子510は、タングステンハロゲンランプの如き加熱ランプで構成される。基板を加熱するための加熱放射512が発生される。別の実施形態では、基板506は、抵抗性加熱素子を含むサセプタ又は510の如き放射性加熱素子及び抵抗性加熱素子を含むサセプタの両者によって加熱される。温度の上昇割合は、50℃/秒より大きく、より特定すると、約75℃/秒及び100℃/秒の範囲内である。基板が上昇させられる温度は、800℃より高く、より特定すると、約800℃及び1100℃の範囲内である。基板506の温度は、温度プローブ526及び高温計528によって測定される。
[0036]ターゲット温度が達成されるとき、その温度は、ブロック405にてターゲット厚さを有する二酸化シリコン膜620を形成するに十分な時間の間一定に保たれる。ターゲット厚さは、温度を下げてその反応を停止することにより、又はチャンバ内の反応物質を使い尽くすことによって達成される。図6は、水素(H)及び酸素(O)反応ガス610によってシリコン基板506上に形成された二酸化シリコン膜620を例示している。1つの実施形態においては、その二酸化シリコン膜の厚さは、膜の使用目的に応じて、約5オングストローム及び100オングストロームの範囲内である。二酸化シリコンゲート誘電体が形成されるような実施形態では、その膜の厚さは、約30オングストロームより小さく、1つの特定の実施形態では、約5オングストロームの単層である。二酸化シリコンがライナー層又は犠牲酸化物を構成するような実施形態では、その厚さは、約50オングストローム及び100オングストロームの範囲内である。二酸化シリコンが分離領域を構成するような実施形態では、その膜の厚さは、約100オングストローム及び200オングストロームの範囲内である。温度は、約15秒及び300秒の範囲内で一定に保たれる。反応は、チャンバ内の反応物質の量が限定されているので自己制限され、300秒よりも長い間、温度を一定に保つことにより、成長があるとしてもあまり意味のないものにのみにとどめることができる。処理中に、基板は、基板506上に二酸化シリコン膜620を形成する間、約90rpm及び240rpmの範囲内の回転速度にて基板の中心軸の周りに水平に回転させられる。二酸化シリコン膜620の厚さは非常に均一となる。何故ならば、チャンバ内へのガスの流れ及びチャンバからのガスの流れが無いため、処理中にチャンバ内に圧力又は流れの勾配が形成されないからである。「無流れ」プロセスでRTPチャンバ500にて形成される二酸化シリコン膜620の厚さの均一性は、処理中にチャンバ内へガスが流され、また、チャンバからガスが流されるようなプロセスで形成される二酸化シリコン膜の厚さの均一性の約10倍である。例えば、約1000℃の温度で前述したような「無流れ」プロセスで形成された約20オングストロームの二酸化シリコン膜は、約0.5%又はそれ以下の均一性(厚さの変動)を有する。
[0037]それから、RTPチャンバ500内の温度は、ほぼ室温まで下げられる。RTPチャンバ500は、温度を下げられたとき、排気口530の圧力制御弁を開くことによって、反応ガスが排出させられる。それから、窒素の如きパージガスが開口540にてRTPチャンバ500内へ流される。こうして、RTPチャンバ500は、移送圧力とされ、この移送圧力の状態で、基板506がクラスタツールにおける移送チャンバへ移送され、さらに別の処理のための別のチャンバ内に置かれるようにされるのである。
[0038]別の実施形態では、このようなプロセスによって窒化シリコン(Si)膜がシリコンウエハ上に形成される。この窒化シリコン膜は、薄膜キャパシタを形成するのに使用され、約30オングストロームより小さい厚さを有する。窒化シリコン膜は、アンモニアガスが反応するに十分な、700℃より高い、より特定すると、900℃より高い温度にてアンモニア(NH)ガスを有するRTPチャンバ500において形成される。窒化シリコン膜を形成するためのチャンバ内の圧力は、約400トールより高い。窒化シリコン膜の厚さは、約10Åから25Åの範囲内である。窒化シリコン膜は、30秒から2分の範囲内の時間にて成長させてもよい。反応ガスの反応は、RTPチャンバ500内の温度を下げることにより遅くされ又は停止さてもよい。
[0039]更に別の実施形態においては、このようなプロセスによりシリコンウエハに酸化窒化物膜が形成される。NOガスを使用しての酸化窒化物層の成長は、圧力及び流れ勾配に対して敏感であり、「無流れ」プロセスによる利点が得られる場合がある。酸化窒化物膜は、NO又はNOの如き反応ガスを使用して形成されてもよい。これらのガスは、約10トール及び700トールの範囲内の圧力にて、700℃より高い温度、より特定すると、800℃より高い温度で、酸化窒化物膜を形成するように反応し得る。酸化窒化物膜は、約10Å及び50Åの範囲内の厚さを有してもよい。酸化窒化物膜は、30秒から2分の範囲内の時間にて成長させてもよい。反応ガスの反応は、RTPチャンバ500内の温度を下げることによって遅くされ又は停止されてもよい。
[0040]別の実施形態では、低種子利用度プロセスは、CVDチャンバ800における化学気相堆積(CVD)による薄膜の形成である。図7は、CVDによって基板810上に膜を形成するプロセスのフローチャートである。CVDチャンバ800は、図8に例示した熱低圧CVD(LPCVD)装置である。基板810は、シリコンウエハ又は別の型の半導体又はシリコンを上に有する絶縁体基板でもよい。基板810は、移送ブレード841によって入口ポート840を通してCVDチャンバ800の内部890内に置かれる。移送ブレード841は、リフターアセンブリ865のリフトピン895上に基板を位置決めする。それから、移送ブレード841は、チャンバ800から外され、リフターアセンブリが上方に移動してサセプタ805を基板810と接触させる。サセプタ805は、サセプタ805の断面に例示されるような抵抗性加熱素子880を内蔵している。加熱素子880は、処理中にサセプタ805及び基板810を加熱する。別の実施形態では、サセプタ805は、抵抗性加熱素子880を内蔵しなくてもよく、ウエハ810及びサセプタ805は、チャンバ800内でサセプタ805の上方及び下方の両位置に配置される加熱ランプによって加熱されてもよい。ブロック701にて、反応ガスが、基板810を含むCVDチャンバ800の内部890内へ流される。反応ガスは、マニホールド(図示していない)、分配ポート820,ブロッカープレート824及びシャワーヘッド825を通して内部890内へ流される。別の実施形態では、マニホールド及びシャワーヘッド825は設けられず、内部890内へ反応ガスを流すのに単純な分配ポート820のみが使用される。内部890内へのガスの流れを維持しながら処理中に内部890内へ特定量の反応ガスを均等に分配するには、典型的には、マニホールド及びシャワーヘッドが使用される。処理中に内部890内へは反応ガスは流されないので、このようなマニホールド及びシャワーヘッドは必要ではない。低種子利用度プロセスのために十分な量の反応ガスがチャンバ内に与えられるまで、CVDチャンバ800の内部890内へ反応ガスが流される。
[0041]1つの実施形態において、低種子利用度プロセスは、CVDによる薄膜の形成である。この薄膜は、シリコン基板上に形成される単結晶エピタキシャル層、ポリシリコン層又はアモルファスシリコン層の如きシリコン膜でもよい。図9は、シリコンエピタキシャル層910がシリコン基板810上に形成されるような実施形態を例示している。シリコン基板810上に任意のシリコン膜を形成するためには、反応ガスは、水素(H)の如きキャリアガスと組み合わせたシラン(SiH)又はジクロロシラン(SiHCl)の如きシリコン含有ガスでもよい。シリコン含有ガスとの混合物における水素の量は、約90%及び98%の範囲内でもよい。反応ガスは、特定の厚さにエピタキシャルシリコン膜910を形成するに十分な反応ガスが与えられるまで、CVDチャンバ800内へ流される。単結晶エピタキシャル膜910の厚さは、約20オングストローム及び500オングストロームの範囲内でもよく、さらに特定すると、約100オングストロームである。内部890内への反応ガスの流れは、ブロック702にてCVDチャンバ800内の圧力が安定化されるまでは、停止されない。CVDチャンバ800内の安定化される圧力は、約10トール−700トールの範囲内であり、更に特定すると、約100トールである。1つの実施形態において、CVDチャンバ800内の圧力は、CVDチャンバ800の内部890内の圧力が安定化されるまで、圧力制御弁を調整することにより、真空ポンプを通してCVDチャンバ800から次第によりゆっくりとした割合でガスを流し出していくことにより、安定化される。流量を減少させることにより圧力が安定化されるとき、圧力コントローラにより、処理中にその安定な圧力が維持される。別の実施形態では、CVDチャンバ800の内部空間の圧力安定化の全てのパラメータを制御するようにプログラムされたソフトウエアが使用される。この実施形態においては、ガスの流量の逓減を制御する命令のセットを記憶するメモリを有する機械読取り可能な媒体を結合したシステムコントローラによって、ガスの流量が低減させられる。ガスの流量は、CVDチャンバ800内に所定の圧力が達成されるところまで逓減され、それから、システムコントローラに結合された機械読取り可能な媒体のメモリに記憶された命令のセットにより、ガスの流れが停止されている間CVDチャンバ800内の圧力が安定化される。ガスの流れを停止する前のCVDチャンバ800内の温度は、反応ガスの反応を生ぜしめるに十分な温度ではない。ある実施形態では、ガスの流れを停止する前のCVDチャンバ800の内部890内の温度は、ほぼ室温でもよい。
[0042]ブロック703にて、CVDチャンバ800の内部890内への反応ガスの流れが停止される。それから、基板810の温度は、反応ガスを反応させて基板810上に薄いエピタキシャル膜910を形成するに十分な温度まで上昇させられる。基板810は、サセプタ805内の抵抗性加熱素子880によって加熱されるサセプタ805によって加熱される。温度の上昇割合は、約25℃/秒−75℃/秒の範囲内であり、更に特定すると、約50℃/秒である。ウエハが上昇させられる温度は、約400℃−900℃の範囲内でもよく、更に特定すると、約600℃−800℃の範囲内である。形成されるシリコン層のタイプは、そのシリコン層が成長される安定化温度によって制御されてもよい。一般的に、より低い温度では、アモルファスシリコンが形成されてもよく、温度が増すにつれて、形成されるシリコンのタイプは、アモルファスからポリシリコンへ、更に単結晶へと進む。基板810の温度が反応温度まで上昇されるとき、基板810の温度は、エピタキシャルシリコン膜910が所望の厚さまで成長するに十分な時間の間安定化される。その反応温度にて、反応ガスは、熱い基板の表面上にて分解し、その分解した反応ガスは、基板上にエピタキシャルシリコン膜を成長させる。単結晶エピタキシャル膜910の厚さは、約20オングストローム及び500オングストロームの範囲内でもよく、更に特定すると、約100オングストロームである。基板及びサセプタを加熱するのに加熱ランプが使用されるような1つの実施形態では、基板は、基板上にエピタキシャルシリコン膜910を成長させている間だけ約20rpm及び50rpmの範囲内の回転速度で基板の中心軸の周りに水平に回転させられてもよい。単結晶エピタキシャル膜910の厚さの均一性は、前述したような「無流れ」プロセスを使用することにより改善されてもよい。単結晶エピタキシャル膜910の成長中に流れ及び圧力勾配を生ぜしめるようなCVDチャンバ800内への反応ガスの流れも、CVDチャンバ800からの反応ガスの流れもないので、膜910の厚さの均一性が改善される。
[0043]それから、サセプタ805の温度及びCVDチャンバ800内の温度は、基板810の温度を下げるため、ほぼ室温まで下げられる。それから、CVDチャンバ800は、温度が下げられるとき、ガス出力830に配置された圧力制御弁(図示していない)を開くことによって反応ガスを排出されてもよい。それから、水素(H)又は窒素(N)の如きパージガスが、CVDチャンバ800の内部890内へ流されてもよい。更に、CVDチャンバ800は、移送圧力とされてもよく、この移送圧力にて、基板810はクラスタツールにおける移送チャンバへ移送されてもよく、更なる処理のための別のチャンバ内に置かれてもよい。
[0044]別の実施形態では、CVDによる「無流れ」低種子利用度プロセスにて形成される膜は、二酸化シリコン又は窒化シリコンでもよい。二酸化シリコン及び窒化シリコンの如き他のアモルファス膜を成長させるためのパラメータは、エピタキシャルシリコン膜を形成するためのものと同様である。主たる相違点は、SiH、Si、SiClの如き主シリコン前駆体に加えて、酸素又はアンモニアの如き他のガスが導入されることである。温度及び圧力は、エピタキシャルシリコンを成長させるのに使用されるものとわずかに異なってもよい。
[0045]前述したような「無流れ」低種子利用度の実施形態は、本発明の応用例のうちのいくつかの実施例である。処理中に反応チャンバ内へのガスの流れを停止することは、原子層堆積又はドーパント注入の如き他の低種子利用度プロセスへ拡張し得る概念である。前述してきた特定の実施形態は、単に、本発明の例示であるとして理解されるべきであり、当業者であれば、それらの特徴のうちのいくつかを置き換えたり、又は、それらの特徴のうちの幾つかを除去したりすることができることを理解するであろう。従って、本発明の範囲は、特許請求の範囲の記載によって定められるべきものである。
本発明の1つの実施形態によるデカップルドプラズマ窒化プロセスのフローチャートである。 デカップルドプラズマ窒化チャンバの断面図を例示している。 デカップルドプラズマ窒化チャンバの内部及びRF源の断面図を例示している。 デカップルドプラズマ窒化プロセス中の二酸化シリコン膜への窒素の拡散の断面図を例示している。 本発明の一実施形態に従って膜が基板上に形成される急速加熱処理のフローチャートである。 急速加熱処理チャンバの断面図である。 反応ガスの急速熱プロセス中のシリコン基板上の二酸化シリコン膜の形成の断面図である。 本発明の1つの実施形態による膜の化学気相堆積のフローチャートである。 化学気相堆積チャンバの断面図である。 化学気相堆積によってシリコン基板上に形成されたシリコンエピタキシャル層の断面図である。
符号の説明
10…DPNチャンバ(プラズマリアクタ)、12…上部チャンバ、14…基板ホルダー、16…コイル、18…電極プレート、22…窒素プラズマ、24…内部空間、26…下部移送チャンバ、28…移送機構、30…内部空間、36…導電性本体、38…誘電体石英上部壁、40…ガスノズル、42…真空ポンプチャネル、44…円形開口、46…垂直軸、50…RF源、52…グランド、54…エピタキシャルシリコン層、58…二酸化シリコン層、500…RTPチャンバ(急速加熱処理チャンバ)、506…シリコン基板、510…加熱素子、512…加熱放射、520…反応ガス、526…温度プローブ、528…高温計、530…排気口、540…開口、610…反応ガス、620…二酸化シリコン膜、800…CVDチャンバ、805…サセプタ、810…シリコン基板(ウエハ)、820…分配ポート、824…ブロッカープレート、825…シャワーヘッド、830…ガス出力、840…入口ポート、841…移送ブレード、865…リフターアセンブリ、880…抵抗性加熱素子、890…内部、895…リフトピン、910…シリコンエピタキシャル層(膜)

Claims (45)

  1. ガスをチャンバ内へ流すステップと、
    上記チャンバ内へのガスの流れを停止するステップと、
    上記チャンバ内の圧力及び流れ勾配を最小化した後に低種子利用度プロセス(a low species utilization process)を行うステップと、
    を備えた方法。
  2. 上記チャンバ内へのガスの流れを停止するステップは、上記チャンバ内の圧力を安定化し、上記チャンバのゲート弁を閉じ、更に、上記チャンバ内へのガスの流れを停止している間に上記チャンバ内の圧力を維持することを含む、請求項1に記載の方法。
  3. 上記低種子利用度プロセスを行うステップは、30オングストロームよりも小さい厚さを有する膜を堆積することを含む、請求項1に記載の方法。
  4. 上記低種子利用度プロセスを行うステップは、約1×e14原子/cm及び1×e16原子/cmの範囲内で基板内へ原子を拡散させることを含む、請求項1に記載の方法。
  5. 上記低種子利用度プロセスを行うステップは、デカップルドプラズマ窒化を含む、請求項1に記載の方法。
  6. 上記低種子利用度プロセスを行うステップは、膜を堆積するための急速加熱処理を含む、請求項1に記載の方法。
  7. 上記低種子利用度プロセスを行うステップは、化学気相堆積を含む、請求項1に記載の方法。
  8. 上記化学気相堆積は、基板上にエピタキシャル層を成長させることを含む、請求項7に記載の方法。
  9. 上記低種子利用度プロセスを行うステップは、原子層堆積を含む、請求項1に記載の方法。
  10. プラズマチャンバ内へガスを流すステップと、
    上記プラズマチャンバ内へのガスの流れを停止するステップと、
    上記プラズマチャンバ内へのガスの流れを停止した後プラズマを発生するステップと、
    を備えた方法。
  11. 上記プラズマを発生する前に上記プラズマチャンバ内の圧力を安定化するステップを更に備えた、請求項10に記載の方法。
  12. 上記プラズマを発生している間に上記プラズマチャンバ内の安定な圧力を維持するステップを更に備えた、請求項10に記載の方法。
  13. 上記プラズマを発生している間に基板内へガスを拡散させるステップを更に備えた、請求項10に記載の方法。
  14. 上記基板内へガスを拡散させるステップは、二酸化シリコンゲート内へ窒素ガスを拡散させることを含む、請求項13に記載の方法。
  15. ある内部圧力を有するデカップルドプラズマ窒化チャンバ内へ窒素ガスを流すステップと、
    上記デカップルドプラズマ窒化チャンバのゲート弁を閉じるステップと、
    上記デカップルドプラズマ窒化チャンバの内部圧力を安定化して安定な圧力を得るステップと、
    上記デカップルドプラズマ窒化チャンバ内へのガスの流れを停止している間に上記デカップルドプラズマ窒化チャンバ内の安定な圧力を維持するステップと、
    上記チャンバ内への窒素ガスの流れを停止した後且つ上記チャンバの内部圧力を安定化した後にプラズマを発生するステップと、
    を備えた方法。
  16. 上記プラズマを発生するステップは、300mmウエハ上の二酸化シリコン膜内へ約1×1014原子/cm及び8×1014原子/cmの範囲内にて窒素を注入することを含む、請求項15に記載の方法。
  17. 上記プラズマを発生するステップは、上記プラズマ窒化チャンバの屋根の周りにコイルを設け、該コイルを無線周波数(RF)電力で付勢することを含む、請求項15に記載の方法。
  18. 上記デカップルドプラズマ窒化チャンバの内部圧力を安定化するステップは、上記内部圧力を約5ミリトール及び95ミリトール内とすることを含む、請求項15に記載の方法。
  19. 上記デカップルドプラズマ窒化チャンバの内部圧力を安定化するステップは、上記内部圧力を約20ミリトールとすることを含む、請求項15に記載の方法。
  20. プラズマを発生している間に上記安定化された内部圧力を維持するステップを更に含む、請求項15に記載の方法。
  21. 上記デカップルドプラズマ窒化チャンバ内の安定な圧力を維持するステップは、約10sccm/秒及び50sccm/秒の範囲内の割合で上記窒素ガスの流れを逓減することを含む、請求項15に記載の方法。
  22. 上記基板内へ窒素を注入するステップは、約30Wから300Wの範囲内で実効無線周波数を加えることを含む、請求項15に記載の方法。
  23. 上記基板内へ窒素を注入するステップは、約150Wの実効無線周波数を加えることを含む、請求項15に記載の方法。
  24. 基板を含む急速加熱処理チャンバ内へ反応ガスを流すステップと、
    上記反応ガスの反応を生ぜしめるには十分でない第1の温度で上記急速加熱処理チャンバ内へのガスの流れを停止するステップと、
    上記急速加熱処理チャンバ内へのガスの流れを停止した後に上記反応ガスの反応を生ぜしめるに十分な第2の温度まで上記第1の温度を上昇させるステップと、
    上記第2の温度で上記基板上に膜を形成するステップと、
    を備えた方法。
  25. 上記チャンバ内へ反応ガスを流すステップは、上記チャンバ内へ水素(H)及び酸素(O)ガスの混合体を流すことを含む、請求項24に記載の方法。
  26. 上記チャンバ内へ反応ガスを流すステップは、上記チャンバ内へ酸素ガスを流すことを含む、請求項24に記載の方法。
  27. 上記急速加熱処理チャンバ内へ反応ガスを流すステップは、約5オングストローム及び50オングストロームの範囲内の厚さを有する膜を成長させるに十分な量の反応ガスを流すことを含む、請求項24に記載の方法。
  28. 上記反応ガスの反応を生ぜしめるには十分でない第1の温度で、上記急速加熱処理チャンバ内へのガスの流れを停止する前に上記急速加熱処理チャンバ内の内部圧力を安定化するステップを更に含む、請求項24に記載の方法。
  29. 上記ウエハ上に膜を形成するステップは、二酸化シリコン膜を堆積することを含む、請求項24に記載の方法。
  30. 上記第2の温度は、約800℃及び1100℃の範囲内の温度である請求項24に記載の方法。
  31. 基板を含む化学気相堆積チャンバ内へ反応ガスを流すステップと、
    上記反応ガスの反応を生ぜしめるには十分ではない第1の温度で上記化学気相堆積チャンバ内へのガスの流れを停止するステップと、
    上記化学気相堆積チャンバ内へのガスの流れを停止した後に上記反応ガスの反応を生ぜしめるに十分な第2の温度まで上記第1の温度を上昇させるステップと、
    上記第2の温度で上記基板上に膜を形成するステップと、
    を備えた方法。
  32. 上記化学気相堆積チャンバ内へ反応ガスを流すステップは、シリコン含有ガス、水素ガス及びマーカーの混合体を上記化学気相堆積チャンバ内へ流すことを含む、請求項31に記載の方法。
  33. 上記化学気相堆積チャンバ内へ反応ガスを流すステップは、約5オングストローム及び500オングストロームの範囲内の厚さを有する膜を成長させるに十分な量の反応ガスを流すことを含む、請求項31に記載の方法。
  34. 上記化学気相堆積チャンバ内へ反応ガスを流すステップは、約100オングストロームの厚さを有する膜を成長させるに十分な量の反応ガスを流すことを含む、請求項31に記載の方法。
  35. 上記反応ガスの反応を生ぜしめるには十分でない第1の温度で、上記化学気相堆積チャンバ内へのガスの流れを停止する前に上記化学気相堆積チャンバ内の内部圧力を安定化するステップを更に備えた、請求項31に記載の方法。
  36. 上記基板上に膜を形成するステップは、シリコンウエハ上にエピタキシャルシリコン層を成長させることを含む、請求項31に記載の方法。
  37. 上記基板上に膜を形成するステップは、シリコンウエハ上にエピタキシャルポリシリコン層を成長させることを含む、請求項31に記載の方法。
  38. 上記基板上に膜を形成するステップは、シリコンウエハ上にアモルファスシリコン層を成長させることを含む、請求項31に記載の方法。
  39. 上記基板上に膜を形成するステップは、ウエハ上に二酸化シリコン層を成長させることを含む、請求項31に記載の方法。
  40. 上記基板上に膜を形成するステップは、ウエハ上に窒化シリコン層を成長させることを含む、請求項31に記載の方法。
  41. 処理チャンバと、
    上記処理チャンバを制御するためのシステムコントローラと、
    上記コントローラに結合し、上記処理チャンバの圧力安定化の動作を制御する命令のセットを記憶するメモリを有する機械読取り可能な媒体と、
    を備え、
    上記命令のセットは、更に、上記処理チャンバ内へ流れるガスのガス流量を低減し、上記処理チャンバのゲート弁を閉じる前に上記処理チャンバ内の圧力を安定化し、上記処理チャンバ内へのガスの流れを停止している間に上記処理チャンバ内の圧力を維持することにより、上記処理チャンバ内の圧力安定化の全てのパラメータを制御する、
    基板処理システム。
  42. 上記処理チャンバは、デカップルドプラズマ窒化チャンバである、請求項41に記載の基板処理システム。
  43. 上記処理チャンバは、急速加熱処理チャンバである、請求項41に記載の基板処理システム。
  44. 上記処理チャンバは、化学気相堆積チャンバである、請求項41に記載の基板処理システム。
  45. 上記命令のセットは、更に、上記処理チャンバ内へのガスの流れを停止した後に低種子利用度プロセスを行うことによる、上記処理チャンバ内の圧力安定化の全てのパラメータを制御する、請求項41に記載の基板処理システム。
JP2007525680A 2004-08-09 2005-08-04 低利用度プロセスにおける流れ及び圧力勾配の除去 Expired - Fee Related JP5042022B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/914,964 US7955646B2 (en) 2004-08-09 2004-08-09 Elimination of flow and pressure gradients in low utilization processes
US10/914,964 2004-08-09
PCT/US2005/027893 WO2006020513A1 (en) 2004-08-09 2005-08-04 Elimination of flow and pressure gradients in low species utilization processes

Publications (2)

Publication Number Publication Date
JP2008509573A true JP2008509573A (ja) 2008-03-27
JP5042022B2 JP5042022B2 (ja) 2012-10-03

Family

ID=35395976

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007525680A Expired - Fee Related JP5042022B2 (ja) 2004-08-09 2005-08-04 低利用度プロセスにおける流れ及び圧力勾配の除去

Country Status (5)

Country Link
US (1) US7955646B2 (ja)
JP (1) JP5042022B2 (ja)
KR (1) KR20070042190A (ja)
CN (1) CN101010783A (ja)
WO (1) WO2006020513A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013094680A1 (ja) * 2011-12-20 2013-06-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および気化装置
JP2020017697A (ja) * 2018-07-27 2020-01-30 東京エレクトロン株式会社 成膜装置及び成膜方法

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
KR20080102065A (ko) * 2007-05-18 2008-11-24 삼성전자주식회사 에피택시얼 실리콘 구조물 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
KR100953825B1 (ko) * 2007-05-28 2010-04-20 한국전자통신연구원 급속 열증착을 이용한 금속산화물 나노구조물 제조방법
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
US8062472B2 (en) * 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101113328B1 (ko) * 2009-12-30 2012-03-13 주식회사 하이닉스반도체 반도체소자의 도전막 형성방법
US9705028B2 (en) 2010-02-26 2017-07-11 Micron Technology, Inc. Light emitting diodes with N-polarity and associated methods of manufacturing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130344688A1 (en) * 2012-06-20 2013-12-26 Zhiyuan Ye Atomic Layer Deposition with Rapid Thermal Treatment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10504721B2 (en) 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111540673B (zh) * 2020-07-07 2020-10-16 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112420731B (zh) * 2020-11-17 2021-12-17 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08225394A (ja) * 1995-10-30 1996-09-03 Nachi Fujikoshi Corp ダイヤモンドの気相合成方法
JPH09162126A (ja) * 1995-12-04 1997-06-20 Hitachi Ltd 化学気相成長装置
JP2004047644A (ja) * 2002-07-10 2004-02-12 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2005109453A (ja) * 2003-09-08 2005-04-21 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05267186A (ja) * 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6627463B1 (en) 2000-10-19 2003-09-30 Applied Materials, Inc. Situ measurement of film nitridation using optical emission spectroscopy
US6610615B1 (en) 2000-11-15 2003-08-26 Intel Corporation Plasma nitridation for reduced leakage gate dielectric layers
TW580730B (en) 2001-03-09 2004-03-21 Macronix Int Co Ltd Method of forming a silicon oxide layer with different thickness using pulsed nitrogen plasma implantation
US6548366B2 (en) 2001-06-20 2003-04-15 Texas Instruments Incorporated Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6503846B1 (en) 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6426305B1 (en) 2001-07-03 2002-07-30 International Business Machines Corporation Patterned plasma nitridation for selective epi and silicide formation
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
US6566205B1 (en) 2002-01-11 2003-05-20 Taiwan Semiconductor Manufacturing Company Method to neutralize fixed charges in high K dielectric
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6649538B1 (en) 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08225394A (ja) * 1995-10-30 1996-09-03 Nachi Fujikoshi Corp ダイヤモンドの気相合成方法
JPH09162126A (ja) * 1995-12-04 1997-06-20 Hitachi Ltd 化学気相成長装置
JP2004047644A (ja) * 2002-07-10 2004-02-12 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2005109453A (ja) * 2003-09-08 2005-04-21 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013094680A1 (ja) * 2011-12-20 2013-06-27 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および気化装置
JP2020017697A (ja) * 2018-07-27 2020-01-30 東京エレクトロン株式会社 成膜装置及び成膜方法
WO2020022319A1 (ja) * 2018-07-27 2020-01-30 東京エレクトロン株式会社 成膜装置及び成膜方法
JP7186032B2 (ja) 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法

Also Published As

Publication number Publication date
KR20070042190A (ko) 2007-04-20
US20060029747A1 (en) 2006-02-09
JP5042022B2 (ja) 2012-10-03
CN101010783A (zh) 2007-08-01
US7955646B2 (en) 2011-06-07
WO2006020513A1 (en) 2006-02-23

Similar Documents

Publication Publication Date Title
JP5042022B2 (ja) 低利用度プロセスにおける流れ及び圧力勾配の除去
JP5252417B2 (ja) 深トレンチのドープシリコン充填のプロセスシーケンス
JP4408699B2 (ja) オキシナイトライド堆積方法
US8685866B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US6784103B1 (en) Method of formation of nanocrystals on a semiconductor structure
US20100190331A1 (en) System for Depositing a Film Onto a Substrate Using a Low Vapor Pressure Gas Precursor
US7335266B2 (en) Method of forming a controlled and uniform lightly phosphorous doped silicon film
US20020173127A1 (en) Doped silicon deposition process in resistively heated single wafer chamber
JP5084080B2 (ja) プラズマ誘発損傷を減少させる方法
JPH10144628A (ja) 薄膜の改良堆積法
US20200194251A1 (en) Conformal oxidation processes for 3d nand
US6387445B1 (en) Tungsten layer forming method and laminate structure of tungsten layer
KR20010110291A (ko) 기판처리방법
US11031241B2 (en) Method of growing doped group IV materials
US20100203243A1 (en) Method for forming a polysilicon film
US20230212738A1 (en) Method and device for forming tungsten film, and device for forming intermediate film before forming tungsten film
JP2022173989A (ja) 窒化チタン膜の成膜方法、及び窒化チタン膜を成膜する装置
JP2002118070A (ja) 半導体装置の製造方法
JPH01187922A (ja) 半導体製造装置
JP2000340770A (ja) 半導体装置の製造方法および半導体製造装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080717

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110907

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120413

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120420

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120612

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120710

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150720

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees