KR20070030807A - 고유전율(k) 유전체가 있는 CMOS 소자 제조에서 문턱전압을 제어하는 장벽층의 선택적인 구현 - Google Patents

고유전율(k) 유전체가 있는 CMOS 소자 제조에서 문턱전압을 제어하는 장벽층의 선택적인 구현 Download PDF

Info

Publication number
KR20070030807A
KR20070030807A KR1020067025229A KR20067025229A KR20070030807A KR 20070030807 A KR20070030807 A KR 20070030807A KR 1020067025229 A KR1020067025229 A KR 1020067025229A KR 20067025229 A KR20067025229 A KR 20067025229A KR 20070030807 A KR20070030807 A KR 20070030807A
Authority
KR
South Korea
Prior art keywords
gate
device region
insulating interlayer
dielectric constant
high dielectric
Prior art date
Application number
KR1020067025229A
Other languages
English (en)
Other versions
KR100951227B1 (ko
Inventor
네스터 에이. 주니어. 보자르크주쿠
사이릴 주니어. 캐브랠
에듀어드 에이. 카르테에
매튜 더블유. 코펠
마르틴 엠. 프랭크
이브게니 피. 고우세브
서프라틱 구하
라자라오 재이미
비제이 나라야낸
밤시 케이. 파루추리
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20070030807A publication Critical patent/KR20070030807A/ko
Application granted granted Critical
Publication of KR100951227B1 publication Critical patent/KR100951227B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/112Devices sensitive to infrared, visible or ultraviolet radiation characterised by field-effect operation, e.g. junction field-effect phototransistor
    • H01L31/113Devices sensitive to infrared, visible or ultraviolet radiation characterised by field-effect operation, e.g. junction field-effect phototransistor being of the conductor-insulator-semiconductor type, e.g. metal-insulator-semiconductor field-effect transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Weting (AREA)

Abstract

개선된 문턱전압 및 평탄 대역 전압 안정성을 갖는 CMOS 구조를 형성하는 방법 및 그에 의해 생산된 소자가 개시된다. 본 방법은 nFET 영역 및 pFET 영역을 갖는 반도체 기판을 제공하는 단계, pFET 영역으로부터 절연 중간층을 제거하지 않고 nFET 영역으로부터 절연 중간층을 제거하는 단계, 및 pFET 영역에 적어도 하나의 게이트 적층을 그리고 nFET 영역에 적어도 하나의 게이트 적층을 제공하는 단계를 포함한다. 절연 중간층은 AlN 또는 AlOxNy가 될 수 있다. 고유전율(k) 유전체는 HfO2, 하프늄 실리케이트 또는 산화질화 하프늄 실리콘이 될 수 있다. 절연 중간층은 HCl/H2O2 과산화 용액을 포함하는 습식 에칭에 의해 nFET 영역으로부터 제거될 수 있다.
CMOS, 고유전율, 문턱 전압, 절연 중간층

Description

고유전율(k) 유전체가 있는 CMOS 소자 제조에서 문턱 전압을 제어하는 장벽층의 선택적인 구현{SELECTIVE IMPLEMENTATION OF BARRIER LAYERS TO ACHIEVE THRESHOLD VOLTAGE CONTROL IN CMOS DEVICE FABRICATION WITH HIGH k DIELECTRICS}
본 발명은 일반적으로 반도체 소자에 관한 것이며, 더욱 상세하게는 nFET 및 pFET 소자 영역을 포함하는 CMOS(complementary metal oxide semicondector) 구조에 관한 것이고, 상기 구조는 nFET 소자 영역 내에 절연 중간층(insulating interlayer)을 포함시키지 않고 pFET 소자 영역의 적어도 하나의 pFET 소자에 있는 게이트 도전체(gate conductor)와 고유전율(k) 게이트 유전체 사이에 절연 중간층을 포함하며, 상기 절연 중간층은 nFET 소자 영역 내에 있는 소자들의 문턱 전압(threshold voltage; Vt) 및 평탄 대역 전압(flatband voltage; Vfb)에 실질적으로 영향을 미치지 않고 pFET 소자들의 문턱 전압(Vt)과 평탄 대역 전압(Vfb)을 안정화한다.
표준 실리콘 CMOS 기술에서, p형 전계 효과 트랜지스터(p-type field effect transistor; pFET)는, 이산화실리콘 또는 산화질화실리콘 게이트 산화층 상부에 증착되는 게이트 도전체로서 붕소{또는 다른 수용체(acceptor)}가 도핑된 p형 폴리실 리콘층을 사용한다. 게이트 전압이 상기 폴리실리콘층을 통해 인가되어 게이트 산화층 아래의 n형 실리콘에 반전 채널(inversion channel)을 생성한다.
적절하게 작동하는 pFET에 있어서, 폴리실리콘(poly-Si) 게이트 도전체에 약한 음(negative)의 전압이 인가될 때 반전이 발생하기 시작해야 한다. 이는 도 1에 도시된 바와 같이 게이트 적층(stack) 구조에 대한 대역 정렬(band alignment)의 결과로 나타난다. 특히, 도 1은 제로(0) 게이트 바이어스(bias)에서 일반적인 pFET 내의 폴리실리콘/게이트 산화물 게이트 적층을 가로지르는 대략적인 대역 정렬을 도시한다. 도 1에서, Ec, Ev 및 Ef는 각각 실리콘 내의 전도대 경계(conduction band edge), 가전자대 경계(valence band edge) 및 페르미 준위(Fermi level)이다. 폴리실리콘/게이트 산화물/n형 실리콘 적층은, (기판 도핑에 따라) 약 0V에서 반전으로 스윙(swing)하고 약 +1V에서 축적(accumulation)으로 스윙하는 축전기를 형성한다. 따라서 반전이 발생하기 시작하는 전압으로 해석될 수 있는 문턱 전압(Vt)은 약 0V이며, 축전기가 축적으로 스윙하기 시작하는 전압인 평탄 대역 전압(Vfb)은 약 +1V이다. 문턱 전압(Vt)과 평탄 대역 전압(Vfb)의 정확한 값은 실리콘 기판 내의 도핑 수준에 좌우되며, 적절한 기판 도핑 수준을 선택함으로써 다소 변할 수 있다.
장래의 기술에서는, 이산화실리콘 또는 산화질화실리콘 유전체는 더 높은 유전 상수를 갖는 게이트 물질로 대체될 것이다. 이러한 물질은, 유전 상수가 4.0보다 더 크고 바람직하게는 약 7.0보다 더 큰 절연 물질을 나타내는 "고유전율(k)"이 라는 용어를 사용하여 "고유전율(k)" 물질로 알려져 있다. 여기에 언급되는 유전 상수는 별다른 상세사항이 없는 한 진공에 관한 것이다. 다양한 가능성으로서, 산화하프늄, 하프늄 실리케이트, 또는 산화질화하프늄실리콘이 고온에서의 훌륭한 열적 안정성으로 인해 종래의 게이트 유전체에 대한 가장 적당한 대체 후보가 될 수 있다.
불행하게도, 산화하프늄 또는 하프늄 실리케이트와 같은 유전체를 사용하여 p형 전계 효과 트랜지스터가 제조될 경우, 소자의 평탄 대역 전압(Vfb)이 약 +1V에 가까운 이상적인 위치에서 약 0±300mV로 변동된다는 것은 잘 알려져있는 문제점이다. 평탄 대역 전압(Vfb)에서의 이와 같은 변동은 VLSI Technology Digest of Technical Papers에서의 2003년 심포지엄에서 "Fermi Level Pinning at the Poly-Si/Metal Oxide Interface"라는 제목으로 C.Hobbs 등이 발표한 바 있다. 결론적으로, 소자의 문턱 전압(Vt)은 약 -1V로 변동된다. 이와 같은 문턱 전압(Vt) 변동은 하프늄(Hf) 기반의 게이트 산화층과 폴리실리콘층 간의 밀접한 상호작용의 결과로 생각된다. 한가지 추측 모델(예컨대, 상기 문서 참조)은 상기 상호작용이 폴리실리콘-게이트 산화물 계면에서 실리콘 대역 갭(gap) 내의 상태들 중 밀도에서의 증가를 유발시켜, 페르미 준위 피닝(fermi level pinning)을 유도한다는 것이다. 따라서 문턱 전압(Vt)이 "올바른" 위치에 있지 않으며, 즉, 문턱 전압(Vt)이 사용가능한 CMOS(complementary metal oxide semiconductor) 기술에 있어서는 지나치게 높다.
고유전율(k) 게이트 유전체를 포함함에 기인한 문턱 전압(Vt) 변동은, 고유전율(k) 유전체(HfSiO)와 폴리실리콘 게이트 도전체 사이에 있는 질화알루미늄(AlN)과 같은 얇은(5 내지 15Å) 절연 중간층을 사용하여 상당히 제어될 수 있다. 도 2는, 참조번호 1로 표시된 바와 같은 2.5㎚ 두께인 SiO2 유전층(제어)을 포함하는 pFET 소자의 전기용량(capacitence) 대 전압 플롯(plot), 참조번호 2로 표시된 바와 같은 1.0㎚ SiO2 유전층 상부에 3.0㎚ HfSiO 고유전율(k) 유전체를 포함하는 pFET 소자의 전기용량 대 전압 플롯, 및 참조번호 3으로 표시된 바와 같은 1.0㎚ SiO2 유전층 상부에 있는 3.0㎚ HfSiO 고유전율(k) 유전체 상부에 AlN 절연 중간층을 포함하는 pFET 소자의 전기용량 대 전압 플롯을 도시한다.
계속하여 도 2를 참조하면, 1.0㎚ SiO2 유전층 상부에 3.0㎚ HfSiO 고유전율(k) 유전체가 있는 pFET에 대한 전기용량 대 전압 플롯을, 1.0㎚ SiO2 유전층 상부에 있는 3.0㎚ HfSiO 고유전율(k) 유전체 상부에 AlN 절연 중간층을 포함하는 pFET 소자에 대한 전기용량 대 전압 플롯과 비교하면, AlN 절연 중간층을 포함하는 pFER 소자의 평탄 대역 전압(Vfb)과 문턱 전압(Vt)에서 약 400mV 만큼 양(+)의 방향으로 변동됨이 드러나며, 문턱 전압(Vt)과 평탄 대역 전압(Vfb)은 그들의 동작값(operating value)으로 변동된다.
그러나, 출원인은 nFET 소자에서 폴리실리콘 게이트 도전체와 고유전율(k) 유전체 사이에 AlN 절연 중간층이 존재함으로 인해, 불리하게도 도 3에 도시된 바 와 같이 양의 문턱 전압(Vt) 변동이라는 결과가 야기된다고 판단했다. 도 3은 참조번호 1'로 표시된 바와 같은 2.5㎚ 두께인 SiO2 유전층(제어)을 포함하는 nFET 소자에 대한 전기용량 대 전압 곡선, 참조번호 2'로 표시된 바와 같은 1.0㎚ SiO2 유전층 상부에 3.0㎚ HfSiO 고유전율(k) 유전체를 포함하는 nFET 소자에 대한 전기용량 대 전압 곡선, 및 참조번호 3'으로 표시된 바와 같은 1.0㎚ SiO2 유전층 상부에 있는 3.0㎚ HfSiO 고유전율(k) 유전체 상부에 AlN 절연 중간층을 포함하는 nFET 소자의 전기용량 대 전압 곡선을 도시한다.
1.0㎚ SiO2 유전층 상부에 있는 3.0㎚ HfSiO 고유전율(k) 유전체 상부에 AlN 절연 중간층을 포함하는 nFET 소자에 대한 전기용량 대 전압 플롯을, 2.5㎚ 두께인 SiO2 유전층(제어)을 포함하는 nFET 소자에 대한 전기용량 대 전압 플롯과 비교하면, 2.5㎚ 두께인 SiO2 유전층(제어)을 포함하는 nFET 소자에 대한 전기용량 대 전압 플롯으로부터 약 400mV만큼 문턱 전압(Vt)이 양의 방향으로 이동함이 드러난다. nFET 소자 내에 AlN 절연 중간층을 포함함으로 인한 문턱 전압(Vt)의 양의 변동은 AlN 절연 중간층이 없는 pFET 소자의 문턱 전압(Vt)에서의 음의 변동과 마찬가지로 바람직하지 않은 특징이다.
하부 nFET 소자 영역 표면을 훼손시키거나 pFET 소자 영역으로부터 AlN 절연층을 제거하지 않고 nFET 소자 영역으로부터 AlN 절연층을 제거하는 종래의 방법은 알려져 있지 않다. KOH와 같은 종래의 식각제(etchant) 또는 건식 반응성 에칭 기술은 하부 고유전율(k) 유전체에 나쁜 영향을 미치기 때문에 바람직하지 않다.
문턱 전압(Vt) 및 평탄 대역 전압(Vfb) 변동 제어에 대한 전술한 문제의 관점에서, nFET 및 pFET 소자 모두에 대해 동시에 문턱 및 평탄 대역 전압(Vt, Vfb)을 안정화할 수 있는 고유전율(k) 게이트 유전체 CMOS 기술을 개발하는 것은 거의 불가능했다. 그로 인해, 고유전율(k) 유전체를 포함하는 게이트 적층을 포함하는 nFET 및 pFET 소자 모두에 대해 문턱 전압(Vt) 및 평탄 대역 전압(Vfb)을 안정화할 수 있는 방법 및 구조가 요구된다.
본 발명의 목적은 nFET 및 pFET 소자 모두에 대한 문턱 전압(Vt) 및 평탄 대역 전압(Vfb)을 안정화하는 CMOS 구조를 제공하는 것이다. 본 발명의 또다른 목적은 예컨대 AlN과 같은 절연 중간층이 pFET 소자에만 존재하는 CMOS 구조를 제공하는 것이며, 상기 절연 중간층은 nFET 소자의 문턱 전압 및 평탄 대역 전압의 안정성을 악화시키지 않고 pFET 소자의 문턱 전압(Vt) 및 평탄 대역 전압(Vfb)을 안정화한다.
본 발명은 고유전율(k) 유전체와 게이트 도전체 사이에 절연 중간층을 제공함으로써 pFET 소자 내의 문턱 전압(Vt) 및 평탄 대역 전압(Vfb)을 유리하게 안정화하며, 절연 중간층은 공간을 분리함으로써 고유전율(k) 게이트 유전체와 게이트 도전체 간의 상호작용을 방지한다. nFET 소자 내에 절연 중간층을 포함함에 기인한 문턱(Vt) 및 평탄 대역 전압(Vfb) 변동은, nFET 소자를 에칭하거나 pFET 소자 영역으로부터 절연 중간층을 제거하지 않고 nFET 소자로부터 절연 중간층을 제거함으로써 안정화될 수 있다. 대체로, 고유전율(k) 유전체 pFET 및 nFET 소자를 포함하는 CMOS 구조를 제공하는 본 발명의 방법은 다음의 단계들을 포함한다.
제1 소자 영역 및 제2 소자 영역을 포함하는 반도체 기판을 제공하는 단계;
상기 제1 소자 영역 및 상기 제2 소자 영역을 포함하는 상기 반도체 기판 상부에 유전체 적층(stack)을 형성하는 단계 - 상기 유전체 적층은 고유전율(k) 유전체 상부에 절연 중간층을 포함함 -;
상기 제2 소자 영역으로부터 상기 절연 중간층을 제거하지 않고 상기 제1 소자 영역으로부터 상기 절연 중간층을 제거하는 단계;
상기 제2 소자 영역 내의 상기 절연 중간층 및 상기 제1 소자 영역 내의 상기 고유전율(k) 유전체 상부에 게이트 도전체를 형성하는 단계; 및
상기 제2 소자 영역 내의 적어도 하나의 게이트 적층과 상기 제1 소자 영역 내의 적어도 하나의 게이트 적층을 제공하기 위하여 상기 게이트 도전체, 상기 절연 중간층 및 상기 고유전율(k) 유전체를 에칭하는 단계.
본 발명에 따르면, 제1 소자 영역은 nFET 소자가 형성되는 영역이며, 제2 소자 영역은 pFET 소자가 형성되는 영역이다. 본 발명에서 사용되는 절연 중간층은, 공간을 분리함으로써 고유전율(k) 게이트 유전체와 게이트 도전체 간의 상호작용을 방지할 수 있는 임의의 절연 물질이다. 또한, 본 발명에서 사용되는 절연 중간층은, 충분히 높은 유전 상수(약 4.0 또는 그 이상)를 가지며, 그 결과 절연 중간층이 추가되면서 {직렬 커패시턴스 효과(series capacitance effect)로 인해} 게이트 전기용량의 감소가 최소화된다. 본 발명의 절연 중간층은 실질적으로 하부의 고유전율(k) 게이트 유전체와 비반응성이며, 따라서 실리사이드(silicide)를 형성하는 고유전율(k) 게이트 유전체와 반응하지 않는다. 본 발명의 절연 중간층은 또한 상부의 게이트 도전체와도 비반응성이다.
본 발명의 절연 중간층의 또다른 특징적인 성질은 절연 중간층이 화학적으로 안정적이며 그 결과 실리콘이 그것을 감소시킬 수 없다는 점이다. 본 발명의 절연 중간층에서 약간 해리가 발생할 수 있는 경우에, 본 발명의 절연 중간층은 실리콘에 있어서 n형 도펀트(dopant)가 되지 않아야 한다. 그보다는, 본 발명의 절연 중간층은 p형 도펀트 또는 중성 도펀트가 될 수 있으며, 그 결과 소자 성능이 불리한 영향을 받지 않는다. 또한, 본 발명에 사용되는 중간 절연층은 고온(표준 CMOS 처리에서 일반적으로 약 1000℃)에서 견딜 수 있는 내화성(refractory) 화합물이어야 한다.
전술한 기준을 만족하며 따라서 본 발명의 절연 중간층으로 사용되는 절연 물질들에는 임의의 절연 질화 금속, 즉 그 안에 산소를 선택적으로 포함할 수 있는 물질을 함유하는 질화 금속이 포함된다. 중간 절연층의 예에는 질화알루미늄(AlN), 산화질화알루미늄 (AlOxNy), 질화붕소(BN), 산화질화붕소(BOxNy), 질화갈륨(GaN), 산화질화갈륨(GaON), 질화인듐(InN), 산화질화인듐(InON), 및 그들의 혼합물이 포함되지만 이에 제한되는 것은 아니다. 절연 중간층은 고유전율(k) 유전체 및 게이트 도전체 사이에 위치한 얇은 중간층이다. 일반적으로, 절연 중간층은 약 1 내지 약 25Å 범위의 두께를 가지며, 더 일반적으로는 약 2 내지 15Å의 두께를 가진다. 절연 중간층은 증착 또는 열적 성장에 의해 형성된다. 증착에는 도금(plating), 스퍼터링, 원자층 화학기상증착(atomic layer chemical vapor deposition; ALCVD), 또는 금속 유기 화학기상증착(metal organic chemical vapor deposition; MOCVD)이 포함된다.
고유전율(k) 유전체는 4.0보다 크고, 바람직하게는 7.0보다 더 큰 유전 상수를 갖는 임의의 유전 물질을 포함한다. 본 발명의 아주 바람직한 실시예에서는, 고유전율(k) 유전체는 HfO2, 하프늄 실리케이트 또는 산화질화하프늄실리콘을 포함한다. 고유전율(k) 유전체는 증착 또는 열적 성장에 의해 형성된다. 열적 성장에는 산화, 질화, 및/또는 산화질화가 포함될 수 있다. 증착에는 화학기상증착(CVD), 플라즈마 강화 화학기상증착(PECVD), 금속 유기 화학기상증착(MOCVD), 고밀도 화학기상능착(HDCVD), 도금, 스퍼터링, 증발(evaporation), 및/또는 화학 용액 증착(chemical solution deposition)이 포함될 수 있다.
제2 소자 영역으로부터 절연 중간층을 제거하지 않고 제1 소자 영역으로부터 절연 중간층을 제거하는 것은 제2 소자 영역 상부에 블록 마스크를 형성하는 단계 -제1 소자 영역은 노출됨-, 및 제1 소자영역으로부터 절연 중간층을 에칭하는 단계를 포함한다. 절연 중간층은 제2 소자 영역 내에 위치한 블록 마스크와 제1 소자 영역 내의 절연 중간층 아래에 위치한 고유전율(k) 유전체의 부분을 실질적으로 에칭하지 않고 절연 중간층을 제거하는 에칭 화학물질에 의해 에칭될 수 있다.
본 발명의 또다른 측면은 상기 방법에 의해 제공되는 CMOS 구조이다. 광범위하게는, 본 발명은 다음을 포함하는 CMOS 구조를 제공한다:
제1 소자 영역 및 제2 소자 영역을 포함하는 반도체 기판;
상기 제1 소자 영역은 제1 고유전율(k) 게이트 유전체 및 제1 게이트 도전체를 포함하는 적어도 하나의 제1 게이트 적층을 포함하며,
상기 제2 소자 영역은 제2 고유전율(k) 유전체, 상기 고유전율(k) 게이트 상부에 절연 중간층. 및 상기 절연층 상부에 제2 게이트 도전체를 포함하는 적어도 하나의 제2 게이트를 포함하며, 상기 절연 중간층은 상기 제1 소자 영역 문턱 전압과 평탄 대역 전압을 변경시키지 않고 상기 제2 소자 영역 문턱 전압 및 평탄 대역 전압을 안정화할 수 있다.
도 1은 제로(0) 게이트 바이어스(bias), Vg=0V에서 일반적인 pFET 내의 종래 기술의 게이트 적층을 가로지르는 대략적인 대역 정렬을 도시한 도면. Ec 및 Ev는 실리콘 기판 및 폴리실리콘 게이트 내에서 각각 전도 및 가전자대 경계를 나타낸다. Ef는 제로 게이트 바이어스에서 실리콘 기판 내 및 폴리실리콘 기판 내의 페르미 준위 위치(점선)을 나타낸다.
도 2는 세가지 종류의 pFET 소자에 대한 전기용량-전압 곡선을 도시한 그래프. 전기용량 전압 곡선에는, 1㎚ SiO2 유전층 상의 3㎚ HfSiO 고유전율(k) 유전체 상에 AlN 문턱 절연 중간층을 포함하는 pFET, 1㎚ SiO2 유전층 상에 3㎚ HfSiO 고유전율(k) 유전체를 포함하는 pFET, 및 2.5㎚ 두께의 SiO2 유전층을 포함하는 pFET에 대한 플롯이 포함된다.
도 3은 3가지 종류의 nFET 소자들에 대한 전기용령-전압 곡선을 도시한 그래프. 전기용량 전압 곡선에는, 1㎚ SiO2 유전층 상의 3㎚ HfSiO 고유전율(k) 유전체 상에 AlN 문턱 절연 중간층을 포함하는 nFET, 1㎚ SiO2 유전층 상에 3㎚ HfSiO 고유전율(k) 유전체를 포함하는 nFET, 및 2.5㎚ 두께의 SiO2 유전층을 포함하는 nFET에 대한 플롯이 포함된다.
도 4는 고유전율(k) 게이트 유전체 및 폴리실리콘 게이트 도전체 사이의 절연 중간층을 안정화하는 문턱 전압(Vt)을 포함하는 pFET 소자와, 본 발명의 선택적인 에칭 공정을 사용하여 절연 중간층이 제거된 nFET 소자를 포함하는 (단면도를 통해 본) 본 발명의 CMOS 구조의 도면.
도 5 내지 8은 도 4에 도시된 CMOS 구조를 제공하는 본 발명의 방법의 단계들의 (단면도를 통해 본 ) 도면.
도 9는 nFET 소자로부터 절연 중간층이 제거된 본 발명의 CMOS 구조의 전기용량 전압 지표를 나타내는 플롯.
도 10은 본 발명의 선택적인 에칭 공정에 따른 AlN 물질을 나타내는 XPS 스펙트럼.
nFET 소자 내에 절연 중간층을 포함하지 않고 적어도 하나의 pFET 소자의 게이트 도전체와 고유전율(k) 게이트 절연체 사이에 절연 중간층(예컨대 AlN 중간층)을 포함하는 CMOS 구조 - 절연 중간층의 위치는 pFET 및 nFET 소자 모두에 대한 문턱 전압(Vt)과 평탄 대역 전압(Vfb)을 안정화함 - 와, 상기 구조를 제조하는 방법을 제공하는 본 발명이 더 자세하게 설명될 것이다. "절연 중간층"이라는 용어는 질화 금속 및 산화질화 금속 물질을 포함할 수 있는 질화 금속 함유 중간층을 나타낸다.
도 4를 참조하면, 도 4는 본 발명의 CMOS 구조(10)를 나타내는 (단면을 통해 본) 도식적인 표현이다. 특히, CMOS 구조(10)는 분리 영역(isolation region; 30)에 의해 분리되는 pFET 소자 영역(15)과 nFET 소자 영역(25)을 갖는 반도체 기판(12)을 포함한다. 도면에는 기판(12) 상에 단지 두개의 전계 효과 트랜지스터(field effect transistor; FET)만이 존재하는 것으로 도시되지만, 본 발명의 범위 내에서 복수의 FET들도 존재한다.
pFET 소자 영역(15)은 p형 소스/드레인 영역(13)을 갖는 적어도 하나의 pFET을 포함한다. 각각의 pFET은 고유전율(k) 유전체(20) 상부에 있는 절연 중간층(22) 상부에 게이트 도전체(24)를 갖는 게이트 영역(18)을 포함한다. 적어도 한 세트의 측벽 스페이서(sidewall spacer; 6)가 게이트 도전체(24)에 인접하여 위치한다.
nFET 소자 영역(25)은 n형 소스/드레인 영역(14)을 갖는 적어도 하나의 nFET을 포함한다. 각각의 nFET는 고유전율(k) 유전체(20) 상부에 게이트 도전체(24)를 포함하는 게이트 영역(18)을 더 포함하며, 게이트 도전체(24)에 적어도 한 세트의 측벽 스페이서(6)가 인접한다.
도 4에 도시된 구조의 한 측면은 nFET 소자들 내의 문턱 전압(Vt)과 평탄 대역 전압(Vfb)dmf 변동시키지 않고 pFET 소자들 내에서 문턱 전압(Vt)과 평탄 대역 전압(Vfb)을 안정화하기 위해 절연 중간층(22)이 위치하며, 그에 따라 적절한 문턱 및 평탄 대역 전압을 갖는 CMOS 트랜지스터 제조에 대한 해결책을 제시한다.
본 발명에 사용되는 절연 중간층(22)은, (i) 공간을 분리함으로써 고유전율(k) 게이트 유전체(20)와 게이트 도전체(24) 사이의 상호작용을 방지할 수 있는 특징, (ii) 상기 중간층이 추가되기 때문에 충분히 높은 유전 상수(약 4.0 또는 그 이상)를 가지며, 그 결과 (직렬 커패시턴스 효과로 인해) 게이트 전기용량의 감소가 최소화되는 특징, (iii) 근처의 계면층 내에 p형 도펀트의 공급을 제공하여 pFET 소자 영역(15) 내의 게이트 도전체(24)의 근처의 계면 Si-함유 물질의 p형 동작을 확보하기 위해 적어도 부분적으로 해리될 수 있는 특징, (iv) 고유전율(k) 게이트 유전체(20)에서부터 게이트 도전체(24)까지 원자의 외부확산(outdiffusion)을 방지할 수 있는 특징, 및 (v) 게이트 도전체(24) 아래의 산화를 방지할 수 있는 특 징 중 적어도 하나를 갖는다.
절연 중간층(22)의 예에는, 질화알루미늄(AlN), 산화질화알루미늄 (AlOxNy), 질화붕소(BN), 산화질화붕소(BOxNy), 질화갈륨(GaN), 산화질화갈륨(GaON), 질화인듐(InN), 산화질화인듐(InON), 및 그들의 혼합물이 포함된다. 상당히 바람직한 실시예에서는, 절연 중간층(22)은 AlN이다. 도 4에 도시된 구조의 다양한 구성요소들과 이를 형성하는데에 사용될 수 있는 공정이 도 5 내지 8을 참조하여 더 상세하게 설명될 것이다.
도 5를 참조하면, 초기 공정 단계 중에, 절연 중간층(22)과 고유전율(k) 유전체(20)의 블랭킷층(blanket layer)이 반도체 기판(12)의 표면 상에 형성된다. 본 발명에 따르면, 고유전율(k) 유전체(20)는 절연 중간층(22)과 반도체 기판(12) 사이에 위치한다.
본 발명에 사용되는 반도체 기판(12)은 Si, Ge, SiGe, SiC, SiGeC, Ga, GaAs, InAs, InP 및 그밖의 모든 III/V 또는 II/VI 화합물 반도체를 포함하는 임의의 반도체 물질을 포함하지만 이에 제한되는 것은 아니다. 반도체 기판(12)에는 또한 Si/SiGe, 실리콘-온-절연체(SOI) 또는 SiGe-온-절연체(SGOI)와 같은 층상(layered) 구조인 반도체 또는 유기 반도체가 포함된다. 본 발명의 몇몇 실시예에서, 반도체 기판(12)은 Si-함유 반도체 물질, 즉 실리콘을 포함하는 반도체로 구성되는 것이 바람직하다. 반도체 기판(12)은 도핑되거나, 도핑되지 않거나, 또는 도핑된 영역과 도핑되지 않은 영역을 그 안에 포함할 수 있다.
반도체 기판(12)는 또한 제1 도핑(n 또는 p) 영역, 및 제2 도핑된(n 또는 p) 영역을 포함할 수 있다. 명확성을 위해, 본 발명의 도면에는 도핑된 영역이 특별히 도시되지는 않는다. 제1 도핑 영역과 제2 도핑 영역은 동일할 수 있으며, 또는 상이한 도전성 및/또는 도핑 농도를 가질 수 있다. 상기 도핑 영역들은 "웰(wells)"로 알려져 있다.
그 다음에 일반적으로 반도체 기판(12) 내에 적어도 하나의 분리 영역(30)이 형성된다. 분리 영역(30)은 트렌치(trench) 분리 영역 또는 필드 산화(field oxide) 분리 영역일 수 있다. 트렌치 분리 영역은 본 기술분야의 당업자에게 잘 알려진 종래의 트렌치 고립 공정을 이용하여 형성된다. 예컨대, 리소그래피, 에칭 및 트렌치 유전체로 트렌치를 충전하는 것이 트렌치 분리 영역 형성에 사용될 수 있다. 선택적으로, 트렌치 충전에 앞서 라이너(liner)가 트렌치 내에 형성될 수 있으며, 트렌치 충전 후에 조밀화(densification) 단계가 수행될 수 있고, 또한 트렌치 충전 이후에 평탄화 공정이 이루어질 수 있다. 소위 실리콘의 국부 산화 공정을 이용하여 필드 산화물이 형성될 수 있다. 적어도 하나의 분리 영역은 근처의 게이트 영역들 사이에 근처의 게이트가 반대되는 도전성을 가질 때 일반적으로 필요한 고립을 제공함을 유념하라. 근처의 게이트 영역들은 동일한 도전성(즉, 모두 n 또는 p형)을 가질 수 있으며, 또는 대안적으로는 상이한 도전성(즉, 하나는 n형, 다른 하나는 p형)을 가질 수 있다.
반도체 기판(12) 내에 적어도 하나의 분리 영역(30)을 형성한 후에, 고유전율(k) 게이트 유전체(20)가 구조의 표면 상에 형성된다. 고유전율(k) 게이트 유전 체는 예컨대 산화, 질화 또는 산화질화와 같은 열적 성장 공정에 의해 형성될 수 있다. 대안적으로는, 고유전율(k) 게이트 유전체(20)는 예컨대 화학 기상 증착(CVD), 플라즈마 CVD(plasma-assisted CVD), 금속유기 화학 기상 증착(MOCVD), 원자층 증착(atomic layer deposition; ALD), 증발, 반응성 스퍼터링, 화학 용액 증착 및 이와 유사한 다른 증착 공정과 같은 증착 공정에 의해 형성될 수 있다. 고유전율(k) 게이트 유전체(20)는 또한 상기 공정들의 임의의 조합을 이용하여 형성될 수도 있다.
고유전율(k) 게이트 유전체(20)는 약 4.0보다 큰, 바람직하게는 7.0보다 큰 유전 상수를 갖는 절연 물질로 구성된다. 특히, 본 발명에 사용되는 고유전율(k) 게이트 유전체(20)는 산화물, 질화물, 산화질화물 및/또는 메탈 실리케이트와 실화 금속 실리케이트를 포함하는 실리케이트를 포함하지만 이에 제한되는 것은 아니다. 일실시예에서, 예컨대 HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3, Y2O3 및 그들의 혼합물과 같은 산화물로 구성되는 것이 바람직하다. 고유전율(k) 유전체(20)의 상당히 바람직한 예에는 HfO2, 하프늄 실리케이트 및 산화질화 하프늄 실리콘이 포함된다.
고유전율(k) 게이트 유전체(20)의 물리적인 두께는 다양할 수 있지만, 일반적으로 고유전율(k) 게이트 유전체(20)는 약 0.5 내지 약 10㎚의 두께를 가지며, 더 일반적으로는 약 0.5 내지 약 3㎚의 두께를 가진다. 상기 유전체는 기판 상에 먼저 증착된 산화실리콘 또는 산화질화실리콘의 얇은(약 0.1 내지 약 1.5㎚) 층 상 에 증착될 수 있다.
본 발명의 일실시예에서, 고유전율(k) 유전체는 본 기술분야의 당업자에게 알려진 블록 마스크를 사용하여 기판의 부분에 선택적으로 증착될 수 있다. 상기 실시예에서, 기판의 제1 부분은 nFET와 같은 제1 소자 유형에 대해 제1 고유전율(k) 유전체를 제공하기 위해 처리될 수 있으며, 기판의 제2 부분은 pFET와 같은 제2 소자 유형에 대해 제2 고유전율(k) 유전체를 제공하기 위해 처리될 수 있다.
이후, 절연 중간층(22)이 고유전율(k) 게이트 유전체(20)의 블랭킷층 상부에 형성된다. 본 발명의 절연 중간층(22)은 고유전율(k) 게이트 유전체(20)와 후속적으로 형성되는 게이트 도전체(24) 사이의 상호 작용을 방지하는 화학적 중간층이다. 본 발명의 절연 중간층(22)은 아래에 놓인 고유전율(k) 유전체(20)와 실질적으로 비반응성(도펀트 소스로 작용할 때는 약간 또는 부분적으로 분해가 있을 수 있음)이므로, 고유전율(k) 유전체(20)와 반응하여 실리사이드(silicide)를 형성하지 않는다. 본 발명의 절연 중간층(22)의 다른 특징은 실리콘이 본 발명의 절연 중간층(22)을 감소시킬 수 없다는 점이다. 본 발명의 중간층(22)의 일부 해리가 발생하는 경우에는, 소자 성능이 불리하게 영향받지 않도록 본 발명의 중간층(22)은 p형 도펀트 또는 중성 도펀트이어야 한다. 바람직하게는, p형 도펀트는 nFET 소자가 후속적으로 형성되는 소자 부분으로 해리되지 않는다. 또한, 본 발명에서 사용되는 절연 중간층(22)은 (표준 CMOS 공정에서 일반적으로 약 1000℃의) 고온을 견딜 수 있는 내화성 화합물이어야 한다.
전술한 기준을 만족하여 본 발명의 절연 중간층(22)으로서 사용되는 절연 물 질은 선택적으로 산소를 포함할 수 있는 임의의 절연 금속 질화물을 포함한다. 절연 중간층의 예에는 질화알루미늄(AlN), 산화질화알루미늄(AlOxNy), 질화보론(BN), 산화질화보론(BOxNy), 질화갈륨(GaN), 산화질화갈륨(GaON), 질화인듐(InN), 산화인듐(InON) 및 이들의 조합이 포함되나, 이에 한정되지는 않는다. 본 발명의 바람직한 일 실시예에 따르면, 절연 중간층(22)은 AlN 또는 AlOxNy이다. 절연 중간층(22)은 일반적으로 약 1 내지 약 25 Å, 더 일반적으로는 약 2 내지 약 15 Å의 두께를 가지는 얇은 층이다.
절연 중간층(22)은, 예컨대 화학 기상 증착(CVD), 플라즈마 CVD(plasma-assisted CVD), 알루미늄 및 질소 베이스 전구체를 사용하는 원자층 증착(ALD), 금속이 질소(선택적으로 여기된 종일 수 있음)와 선택적으로 산소 원자 또는 분자의 환경 또는 빔을 따라 증발되는 분자 빔 증착 또는 물리 기상 증착, 유기 금속 화학 기상 증착(MOCVD), 원자층 증착, 스퍼터링 등과 같은 다양한 증착 공정에 의해 형성될 수 있다. 대안적으로는, 절연 중간층(22)은 이전에 증착된 절연 금속층의 열 질화 또는 산화질화에 의해 형성될 수 있다. 대안적으로는, 먼저 금속 질화물을 증착하고, 산화질화물을 생성하기 위해 적절한 산소 환경에서 부분적으로 산화함으로써 금속의 산화질화물이 생성될 수 있다.
절연 중간층(22)을 형성하는 바람직한 일 방법은 저항성 가열된(resistively heated) 표준 Al 증발원(effusion cell)으로부터 Al을 고진공 하에서 증발시키고, 상용 무선 주파수(RF) 원자 질소 또는 질소 및 산소 소스로부터 질소, 또는 산소 및 질소 빔을 사용하는 것이다. 질소만의 증착의 경우에는, 단일 RF 질소 소스면 충분하다. 산화질화물의 경우에는, 산소의 제2 RF 소스가 사용될 수 있다. 대안적으로는, 산소는 RF 소스 없이 단순히 분자 빔으로서 가해질 수 있다. 고진공 하에서의 증발 공정은, 예컨대 미국 특허 제6,541,079호에서 설명된다. 증발 공정 동안 증발원은 일반적으로 약 1000℃ 내지 1200℃의 온도를 가진다. 증발 공정은 일반적으로 약 1 내지 3 sccm의 유량(flow rate)과 약 200 내지 450W의 전력을 가지는 RF 소스를 사용하여 수행된다. 이러한 수치들은 전술한 범위로부터 문제없이 넓게 변화될 수 있다. 증착 동안 기판 온도는 일반적으로 150℃ 내지 650℃로 유지된다. 또한, 증착 온도도 전술한 범위 밖으로 변화될 수 있다. 베이스 진공 챔버 압력은 일반적으로 약 5×10-10 내지 2×10-9 torr이다.
동일한 것을 형성하는데 사용되는 기술임에도 불구하고, 본 발명에서 형성되는 절연 중간층(22)은 고유전율(k) 게이트 유전체(20) 상부에 존재하는 연속적이고 균일한 층이다. "연속적"은 절연 중간층이 내부에 실질적인 균열(break) 및/또는 공극(void)을 함유하지 않음을 의미하고, "균일"은 절연 중간층(22)이 구조 전체적으로 증착된 대로 거의 동일한 두께를 가짐을 의미한다. 절연 중간층(22)은 비결정질(amorphous)일 수 있으며, 이는 소정의 결정 구조를 결여할 수 있음을 의미한다. 절연 중간층(22)은 동일한 것을 형성하는데 사용되는 기술에서와 마찬가지로 사용되는 물질에 따라 비결정질 외의 다른 상(phase)에 존재할 수 있다.
도 6을 참조하면, 절연 중간층(22)의 형성 이후, pFET이 후속적으로 형성되 는 기판(12)의 부분을 보호하는 블록 마스크(50)가 형성된다. 기판의 이 부분은 이하에서 pFET 소자 영역(15)으로 언급된다. 블록 마스크에 의해 보호되지 않는 기판의 노출된 부분은 nFET 소자를 제공하도록 후속적으로 처리되며, 이하에서 nFET 소자 영역(25)으로 언급된다.
블록 마스크(50)는 통상적인 소프트 및/또는 하드마스크 물질을 포함할 수 있으며, 증착, 포토리소그래피(photolithography) 및 에칭을 사용하여 형성될 수 있다. 바람직한 일 실시예에 따르면, 블록 마스크(50)는 포토레지스트(photoresist)를 포함한다. 포토레지스트 블록 마스크(50)는 포토레지스트층을 기판(12) 표면에 가하고, 포토레지스트층을 방사 패턴으로 노출시킨 후, 통상적인 레지스트 현상제를 이용하여 패턴을 포토레지스트층으로 현상함으로써 생성될 수 있다.
대안적으로는, 블록 마스크(50)는 하드마스크 물질일 수 있다. 하드마스크 물질은 화학 기상 증착(CVD) 및 관련된 방법에 의해 증착될 수 있는 유전체 시스템을 포함한다. 일반적으로, 하드마스크 합성물에는 산화실리콘, 탄화실리콘, 질화실리콘, 탄화질화실리콘 등이 포함된다. 또한, 실세퀴옥산(silsequioxane), 실록산(siloxane), 및 BPSG(boron phosphate silicate glass)를 포함하나 이에 한정되지는 않는 스핀-온(spin-on) 유전체가 하드마스크 물질로서 이용될 수 있다. 하드마스크 물질을 포함하는 블록 마스크(50)는 하드마스크 물질 층을 블랭킷 증착하고, 하드마스크 물질 층 상부에 패턴화된 포토레지스트를 제공하고, pFET 소자 영역(15)을 보호하는 블록 마스크(50)를 제공하도록 하드마스크 물질 층을 에칭함으 로써 형성될 수 있으며, 에칭은 nFET 소자 영역(25)의 표면과 패턴화된 포토레지스트에 대하여 높은 선택도(selectivity)를 가지는 에칭 작용을 포함한다.
다시 도 6을 참조하면, 다음 공정 단계에서, 절연 중간층(22)의 노출된 부분이 고도 선택적 에칭 공정(highly selective etch process)을 사용하여 nFET 소자 영역(25)으로부터 제거된다. 이러한 고도 선택적 에칭은 바람직하게는 pFET 소자 영역(15)을 보호하는 블록 마스크(50) 또는 아래에 놓인 고유전율(k) 유전체(20)를 실질적으로 에칭하지 않고 nFET 소자 영역(25)으로부터 절연 중간층(22)의 노출된 부분을 제거하는 에칭 작용을 포함한다.
바람직하게는, 아래에 놓인 고유전율(k) 유전체(20) 또는 블록 마스크(50)를 실질적으로 에칭하지 않고, 습식 에칭이 nFET 소자 영역(25)으로부터 절연 중간층(22)을 제거한다. 바람직한 일 실시예에 따르면, 이러한 에칭 작용은 아래에 놓인 하프늄 실리케이트 고유전율(k) 유전체(20)를 실질적으로 에칭하지 않고, AlN 절연 중간층(22)을 제거한다.
종래의 에칭 방법은 아래에 놓인 고유전율(k) 유전체(20)를 에칭하지 않고서 선택적으로 AlN을 제거할 수 없다. 예를 들어, KOH와 같은 습식 에칭제, 또는 RIE와 같은 건식 에칭 기술은 아래에 놓인 고유전율(k) 유전체(20)에 해로운 영향을 미친다.
본 발명의 바람직한 일 실시예에 따르면, 습식 에칭 작용은 HCl과 과산화수소의 용액을 포함하며, 바람직한 농도는 3:1 HCl:H2O2이다. HCl/과산화수소 용액에 더하여, 다른 무기산 및 산화제가 에칭 작용이 고유전율(k) 유전체(20)를 공격하지 않는 한 동일한 결과를 생성할 수 있음이 제안된다. 산화제에는 과산화물, 질산염, 아질산염, 과염소산염, 염소산염, 아염소산염, 차아염소산염, 중크롬산염, 과망간산염, 과황산염 또는 이들의 조합이 포함될 수 있다. 무기산에는 황산, 인산 또는 이들의 조합이 포함될 수 있다. 에칭 속도는 에칭 작용의 pH에 의해 영향을 받을 수 있다. 에칭 작용의 pH는 약 1 내지 약 8일 수 있으며, 바람직하게는 약 2 내지 약 6, 가장 바람직하게는 약 2.8일 수 있다. 에칭 합성물은 발열 반응 동안 혼합될 수 있다. 습식 에칭은 산소 함유 환경에서 수행될 수 있으며, 상온 또는 높은 온도에서 수행될 수 있다. 바람직하게는, 에칭 온도는 15℃ 내지 80℃이다. 에칭 후, 블록 마스크(50)는 화학적 분리(chemical strip)를 사용하여 제거되며, 기판(12)은 탈이온수(deionized water)로 헹구어지고 N2 환경에서 건조된다.
도 7을 참조하면, 다음 공정 단계에서, 적어도 하나의 게이트 도전체(24)가 pFET 소자 영역(15)과 nFET 소자 영역(25)에 형성된다. 게이트 도전체(24)는 당업자에게 공지된 임의의 도전성 물질을 포함할 수 있다. 예를 들어, 게이트 도전체 물질은 폴리실리콘을 포함할 수 있으나, SiGe, SiGeC, 금속 실리사이드, 금속 질화물, 금속(예컨대, W, Ir, Re, Ru, Ti, Ta, Hf, Mo, Nb, Ni, Al), 또는 이들의 조합으로 구성될 수도 있다. 적어도 하나의 게이트 도전체는 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD), 고밀도 화학 기상 증착(HDCVD), 도금(plating), 스퍼터링, 증발 또는 화학 용액 증착(chemical solution deposition) 을 사용하여 증착될 수 있다.
pFET 소자 영역(15)과 nFET 소자 영역(25)에 형성되는 게이트 도전체(24)는 동일한 물질이거나 상이한 물질일 수 있다. 게이트 영역(24)이 상이한 물질을 포함하는 실시예에서는, 블록 마스크가 pFET 소자 영역(15)과 nFET 소자 영역(25)의 게이트 도전체(24) 물질을 선택적으로 처리하는데 이용될 수 있다.
게이트 도전체가 Si 함유 물질을 포함하는 본 발명의 일 실시예에서는, Si 함유 물질의 블랭킷층이, 물리 기상 증착, CVD 또는 증발을 포함하나 이에 한정되지는 않는 공지된 증착 공정을 이용하여, nFET 소자 영역(25) 내의 고유전율(k) 유전체(20) 및 pFET 소자 영역(15) 내의 절연 중간층(22) 상에 형성된다.
게이트 도전체(24)를 형성하는데 사용되는 Si 함유 물질은 단결정질, 다결정질 또는 비결정질 형태인 Si 또는 SiGe 합금층을 포함한다. 또한, 전술한 Si 함유 물질의 조합도 예상된다. Si 함유 물질의 블랭킷층은 도핑되거나 도핑되지 않을 수 있다. 도핑된 경우, 동일한 것을 형성하는데 인-시튜(in-situ) 도핑 증착 공정이 이용될 수 있다.
대안적으로, 도핑된 Si 함유 층이 증착, 이온 주입 및 어닐링(annealing)에 의해 형성될 수 있다. Si 함유 층의 도핑은 형성된 게이트 도전체(24)의 일함수를 이동시킬 것이다. 도펀트 이온의 예에는 As, P, B, Sb, Bi, In, Al, Ga, 또는 이들의 혼합물이 포함되며, 바람직하게는 P이다. 본 발명의 이 점에서 증착된 Si 함유 층의 두께, 즉 높이는 사용된 증착 공정에 따라 변할 수 있다. 일반적으로, Si 함유 층은 약 20 내지 약 180 ㎚, 더 일반적으로는 약 40 내지 약 150 ㎚의 수직 두께를 가진다.
게이트 도전체 물질의 블랭킷층의 증착 후에, 예컨대 물리 기상 증착 또는 화학 기상 증착과 같은 증착 공정을 이용하여 유전체 캡층(cap layer)(도시되지 않음)이 게이트 도전체 물질의 블랭킷층 상부에 형성될 수 있다. 유전체 캡층은 산화물, 질화물, 산화질화물 또는 이들의 임의의 조합일 수 있다. 유전체 캡층의 두께, 즉 높이는 약 20 내지 약 180㎚, 더 일반적으로는 약 30 내지 약 140㎚이다.
이후, 유전체 캡(존재하는 경우), 블랭킷 게이트 도전체 층, 및 선택적으로 절연 중간층(22) 및 pFET 소자 영역(15) 내의 고유전율(k) 게이트 유전체(20) 및 nFET 소자 영역(25) 내의 고유전율(k) 게이트 유전체는 리소그래피와 에칭에 의해 패턴화되어 nFET 및 pFET 소자 영역(15, 25) 내에 적어도 하나의 패턴화된 게이트 적층(stack)(18)을 제공한다. 복수의 패턴화된 게이트 적층(18)이 형성될 때, 게이트 적층(18)은 동일한 치수, 즉 길이를 가지거나, 소자 성능을 개선하기 위한 가변 치수를 가질 수 있다. 본 발명의 이 점에서의 각각의 패턴화된 게이트 적층(18)은 적어도 하나의 게이트 도전체(24)를 포함한다.
리소그래피 단계는 블랭킷층 구조의 상부 표면에 포토레지스트를 가하는 단계, 포토레지스트를 원하는 방사 패턴으로 노출하는 단계 및 통상적인 레지스트 현상제를 이용하여 노출된 포토레지스트를 현상하는 단계를 포함한다. 이후, 포토레지스트 내 패턴은 하나 이상의 건식 에칭 단계를 이용하여 구조로 전달된다. 일부 실시예들에 따르면, 패턴화된 포토레지스트는 패턴이 블랭킷층 구조의 층들 중 하나로 전달된 후에 제거될 수 있다. 다른 실시예들에 따르면, 패턴화된 포토레지스 트는 에칭이 완료된 후에 제거된다.
본 발명에서 패턴화된 게이트 적층을 형성하는데 사용될 수 있는 적절한 건식 에칭 공정에는 반응성 이온 에칭, 이온 빔 에칭, 플라즈마 에칭 또는 레이저 제거(ablation)가 포함되나, 이에 한정되지는 않는다. 사용되는 건식 에칭 공정은 일반적으로 nFET 소자 영역(25) 내의 고유전율(k) 유전체(20)와 pFET 소자 영역(15) 내의 절연 중간층(22)에 대하여 선택적이나, 언제나 그러한 것은 아니다. 따라서, 이러한 에칭 단계는 고유전율(k) 유전체(20)와 절연 중간층(22)의 노출된 일부를 일반적으로 제거하지 않는다. 그러나, 일부 실시예들에 따르면, 이러한 에칭 단계는 이전에 에칭된 게이트 도전체(24)에 의해 보호되지 않는 절연 중간층(22)과 고유전율(k) 유전체(20)의 부분을 제거하는데 사용될 수 있다.
도 8을 참조하면, 적어도 한 세트의 스페이서(6)가 일반적으로 각각의 패턴화된 게이트 적층(18)의 노출된 측벽 상에 형성되나, 언제나 그러한 것은 아니다. 적어도 한 세트의 스페이서(6)는 산화물, 질화물, 산화질화물 및/또는 이들의 임의의 조합과 같은 절연체로 구성된다. 적어도 한 세트의 스페이서(6)는 증착 및 에칭에 의해 형성된다.
적어도 하나의 스페이서(6)의 폭은 (후속적으로 형성될) 소스 및 드레인 실리사이드 접촉부가 게이트 적층의 에지 아래로 침식하지 않도록 충분히 넓어야 한다. 일반적으로, 소스/드레인 실리사이드는, 적어도 하나의 스페이서가 바닥에서부터 측정되는 경우 약 20 내지 약 80 ㎚의 폭을 가질 때, 게이트 적층의 에지 아래로 침식하지 않는다.
또한, 본 발명의 이 점에서, 게이트 적층(18)은 동일한 것을 열 산화, 질화 또는 산화질화 공정을 거치게 함으로써 패시베이션(passivation) 될 수 있다. 패시베이션 단계는 게이트 적층에 대한 패시베이션 물질의 얇은 층을 형성한다. 이러한 단계는 이전의 스페이서 형성 단계 대신에, 또는 스페이서 형성 단계와 함게 사용될 수 있다. 스페이서 형성 단계와 함께 사용되는 때에는, 스페이서 형성이 게이트 적층 패시베이션 공정 이후에 일어난다.
이후, (스페이서가 있거나 또는 스페이서 없이) 소스/드레인 확산 영역(13, 14)이 기판에 형성된다. 소스/드레인 확산 영역(13, 14)은 이온 주입 및 어닐링 단계를 이용하여 형성된다. p형 소스/드레인 확산 영역(13)은 pFET 소자 영역(15) 내에 형성되고 n형 소스/드레인 확산 영역(14)은 nFET 소자 영역(25) 내에 형성된다. 어닐링 단계는 이전의 주입 단계에 의해 주입된 도펀트를 활성화하는 기능을 한다. 이온 주입 및 어닐링에 대한 조건들은 당업자에게 공지되어 있다.
또한, 소스/드레인 확산 영역(13, 14)은 확장 주입 영역(extension implant region)을 포함할 수 있는데, 이는 해당 소스/드레인 확산 영역에서와 동일한 도펀트 유형을 가지는 통상적인 확장 주입을 사용하는 소스/드레인 주입 이전에 형성된다. 확장 주입 이후에는 활성화 어닐링이 뒤따르거나, 대안적으로 확장 주입 및 소스/드레인 주입 동안 주입된 도펀트들이 동일한 활성화 어닐링 사이클을 사용하여 활성화될 수 있다. 또한, 헤일로 주입(halo implant)도 예상된다.
이후, 이전에 제거되지 않은 경우, 고도 선택적 화학 에칭 공정을 이용하여, 고유전율(k) 유전체(20)의 노출된 부분이 nFET 소자 영역(25)으로부터 제거되고 고 유전율(k) 유전체(20)와 절연 중간층(22)의 노출된 부분이 pFET 소자 영역(25)으로부터 제거된다. 이러한 에칭 단계는 반도체 기판(12)의 상부 표면 상에서 중단된다. 절연 중간층(22)과 고유전율(k) 유전체(20)의 노출된 부분을 제거하는데 임의의 화학적 에칭제가 사용될 수 있으나, 일 실시예에서는 묽은 플루오르화 수소산(dilute hydrofluoric acid; DHF)이 사용된다.
전술한 실시예들 및 다양한 조합들 중에서, 본 발명의 특히 바람직한 CMOS 구조는 고유전율(k) 게이트 유전체(20)가 HfO2, 하프늄 실리케이트 또는 하프늄 실리콘 산화질화물로 구성되고 절연 중간층(22)이 선택적으로 일부 산소를 포함할 수 있는 AlN으로 구성되는 것이다. 특히 바람직한 구조의 기타 변경 및 치환도 예상되며, 배제되지 않아야 한다.
금속 상호 접속부를 구비한 BEOL(back-end-of-the-line) 상호 접속 레벨의 형성 및 실리사이드화된 접촉부(소스/드레인 및 게이트)의 형성과 같은 추가적인 CMOS 처리는 당업자에게 공지된 처리 단계들을 이용하여 형성될 수 있다.
이하의 예들은 본 발명의 절연 중간층(22)이 pFET 소자 내에만 위치하고 nFET 소자로부터는 제거된 CMOS 구조의 중요성을 증명하기 위해 예시의 목적으로 제공된다.
예 1
이 예에서는, Hf 산화물 또는 실리케이트 층(고유전율(k) 유전체)이 nFET 소자 영역을 pFET 소자 영역으로부터 분리하는 분리 영역으로 미리 패턴화된 실리콘 기판 상에 성장된다. Hf 산화물 및 실리케이트는 유기 금속 화학 기상 증착(MOCVD) 및 원자층 화학 기상 증착(ALCVD)을 사용하여 증착된다. Hf 산화물 및 실리케이트 층의 두께는 약 2㎚ 내지 약 4㎚의 범위 내이며, 실리케이트의 조성은 대체로 y/(x+y)가 약 0.2 내지 0.3인 HfxSiyO4이다. 이러한 산화물은 0.3㎚ 내지 1.2㎚ 두께의 산화실리콘 또는 산화질화실리콘 코팅을 가지는 n형 실리콘 웨이퍼 상에 증착된다. 이러한 산화실리콘 또는 산화질화실리콘 코팅의 존재는 선택적이다.
Hf 산화물 및 실리케이트의 증착 후, 웨이퍼는 질화알루미늄 증착(절연 중간층)을 위한 초고진공 증착 챔버 내에 로드된다. 질화알루미늄은 저항성 가열된 표준 Al 증발원으로부터 Al을 증발시키고, 상용 무선 주파수 원자 질소 소스로부터 질소 빔을 사용하여 증착된다. 증발원은 동작하는 동안 1000℃ 내지 1200℃의 온도를 가진다. 원자 질소 소스는 약 200 내지 450W의 범위와 1 내지 3 sccm의 질소 유량으로 동작한다. 기판 온도는 증착 동안 150℃ 내지 650℃로 유지된다. 베이스 진공 챔버 압력은 약 5×10-10 내지 2×10-9 torr이다. AlN 증착 동안, 압력은 1×10-5 torr 범위까지 상승한다. AlN 층은 약 0.5㎚ 내지 약 2.0㎚ 범위의 두께로 증착된다.
이후, 기판이 꺼내지고 외부 열이 공급되지 않은 채로 AlN 층을 제거하도록 HCl:H2O2 과산화물 용액으로 에칭된다. 이 에칭제 용액의 농도는 1 비율(part) 이 상의 HCl과 1.5 비율 이상의 H2O2를 포함하며, 산성 용액이 제공된다. 바람직한 농도는 3:1 HCl:H2O2를 포함한다. 전술한 바와 같이, 농도의 선택에 기인하는 pH는 에칭 속도에 영향을 미칠 것임을 주의하여야 한다. 에칭 후에, 기판은 탈이온수로 헹구어지고 N2 환경에서 건조되어 Hf 산화물 표면을 가지는 기판을 제공한다.
다음으로, 표준 공정을 사용하는 화학 기상 증착을 사용하여 비정질 실리콘 층(게이트 컨덕터 층)이 약 150nm의 두께로 기판 표면 상부에 증착된다. 다음으로, 다시 표준 반도체 공정 절차를 따라 비정질 실리콘 층은 약 950℃부터 약 1000℃까지에서 어닐링되어 활성화되는 인 및 도펀트로 이온 주입된다. 일부 경우에, 기체 어닐의 형성은 SiO2/Si(100) 인터페이스 상태 패시베이션에 대해 수행된다.
다음으로, NMOS 테스트 축전기들은 화학기상증착을 사용하고 약 20x20 평방 미크론 단위의 패드 모양을 정의하기 위한 에칭을 통해 상기 구조들로부터 형성된다. nMOS 테스트 축전기 구조는 인(phosphorus) 도핑된 폴리실리콘층, 약 2nm에서 약 4nm까지 범위의 두께를 갖는 Hf 실리케이트 또는 HfO2 층, 약 0.3nm에서 약 1.2nm까지의 범위의 두께를 갖는 SiO2 또는 SiON 층, 및 실리콘(100) 기판을 포함하는 구조를 제공하기 위해, 위에서 설명된 방법을 사용하여 에칭되어 AlN 층을 제거한다. AlN 층이 통합되거나 에칭되지 않았던 폴리실리콘/Hf 실리케이트 또는 HfO2/SiON nMOS 제어 축전기가 비교를 위해 제공된다.
도 9에 도시된 바와 같이 축전기들이 전기적으로 테스트되어 전기용량 대 전 압 플롯을 제공한다. 게이트 유전체로서 Hf 실리케이트 또는 HfO2를 갖고, 그 위에 증착되고 본 발명의 선택적 에칭에 의해 제거되는 AlN층을 갖는 nMOS 테스트 축전기에 대한 전기용량-전압 곡선이 참조번호(55)에 의해 표시된다. 축전기들에 대한 전기용량 전압 곡선에서 평탄대역 전압(Vfb)은 트랜지스터들에서의 문턱 전압(Vt)과 동일하다.
도 9를 참조하면, 테스트 축전기들의 평탄대역 전압(Vfb)과 제어 축전기들을 비교하면 테스트 축전기들의 평탄대역 전압(Vfb)이 제어 축전기들의 70mV 이내에 있음을 알 수 있다. 그러므로, AlN이 소자의 평탄대역 전압(Vfb)의 실질적인 저하없이 테스트 축전기들의 표면으로부터 제거될 수 있기 때문에, 본 발명의 에칭액은 아래에 놓인 Hf 실리케이트 또는 HfO2 고유전율(k) 유전체를 에칭한다거나 Hf 실리케이트 또는 HfO2 고유전율(k) 유전체의 전기적 성질에 불리한 영향을 주지 않고 AlN을 유리하게 제거할 수 있다.
이제 도 10을 참조하면, 하프늄 실리케이트 표면으로부터 15분간 3:1 비율의 HCL:H2O2 용액을 포함하는 에칭액에 의해 에칭된 전면(blanket) AlN막의 XPS 스펙트럼이 제공된다. 도 10을 참조하면, HCl/과산화물 에칭된 표면이 도면부호(75)에 의해 나타나 있고, AlN 제어 표면의 XPS 표면이 도면부호(80)에 의해 나타나 있다. 도 10에 도시된 XPS 스펙트럼에 나타난 바와 같이, AlN 제어 표면에서 탐지되는 Al 2P 피크가 HCl/과산화물 용액에 의해 하프늄 표면으로부터 에칭된 AlN막에서는 존 재하지 않는다. 하프늄 실리케이트에 대한 선택성은 엘립소메트리(ellipsometry) 측정을 사용하여 확인될 수 있으며 하프늄 실리케이트막의 두께이는 변화가 없음을 보여준다.
본 발명이 바람직한 실시예들과 관련하여 특히 도시되고 설명되었으나, 당업자라면 본 발명의 사상과 범위에서 벗어나지 않고 전술한 것과 형태 및 세부사항에 있어서 다른 변경들이 이루어질 수 있음을 이해할 것이다. 그러므로 본 발명은 설명되고 도시되는 정확한 형태 및 세부사항에 한정되는 것이 아니고, 첨부된 청구항의 범위 내에 있는 것으로 의도된다.

Claims (54)

  1. 상보성 금속 산화물 반도체(CMOS) 구조로서,
    제1 소자 영역 및 제2 소자 영역을 갖는 반도체 기판을 포함하고,
    상기 제1 소자 영역은 제1 고유전율(k) 게이트 유전체 및 제1 게이트 컨덕터를 포함하는 적어도 하나의 제1 게이트 적층(stack)을 포함하고,
    상기 제2 소자 영역은 제2 고유전율(k) 게이트 유전체, 상기 제2 고유전율(k) 게이트 유전체 상부의 절연 중간층, 및 상기 절연 중간층 상부의 제2 게이트 컨덕터를 포함하는 적어도 하나의 제2 게이트 적층을 포함하고, 상기 절연 중간층은 상기 제1 소자 영역 문턱전압 및 평탄 대역 전압의 쉬프트(shift) 없이 상기 제2 소자 영역 문턱전압 및 평탄 대역 전압을 안정화시킬 수 있는 CMOS 구조.
  2. 제1항에 있어서,
    상기 제1 소자 영역은 nFET 소자를 포함하고, 상기 제2 소자 영역은 pFET 소자를 포함하는 CMOS 구조.
  3. 제1항에 있어서,
    상기 반도체 기판은 Si, Ge, SiGe, SiC, SiGeC, Ga, Gas, InAs, InP, 다른 Ⅲ/Ⅴ이나 Ⅱ/Ⅵ 화합물 반도체, 유기 반도체 또는 층상(layered) 반도체를 포함하는 CMOS 구조.
  4. 제1항에 있어서,
    상기 반도체 기판은 Si, SiGe, 실리콘-온-절연체 또는 실리콘 게르마늄-온-절연체를 포함하는 CMOS 구조.
  5. 제1항에 있어서,
    상기 제1 소자 영역은 상기 적어도 하나의 제1 게이트 적층에 인접한 상기 기판의 n형 도핑된 소스/드레인 영역을 더 포함하고,
    상기 제2 소자 영역은 상기 적어도 하나의 제2 게이트 적층에 인접한 상기 기판의 p형 도핑된 소스/드레인 영역을 더 포함하는 CMOS 구조.
  6. 제1항에 있어서,
    상기 제1 고유전율(k) 게이트 유전체 및 상기 제2 고유전율(k) 게이트 유전체는 동일한 물질을 포함하는 CMOS 구조.
  7. 제1항에 있어서,
    상기 제1 고유전율(k) 게이트 유전체 및 상기 제2 고유전율(k) 게이트 유전체는 상이한 물질을 포함하는 CMOS 구조.
  8. 제1항에 있어서,
    상기 제1 고유전율(k) 게이트 유전체 및 상기 제2 고유전율(k) 게이트 유전체는 산화물, 질화물, 산화질화물 또는 실리케이트를 포함하는 CMOS 구조.
  9. 제1항에 있어서,
    상기 제1 고유전율(k) 게이트 유전체 및 상기 제2 고유전율(k) 게이트 유전체는 HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3, Y2O3, SiO2, 질화된 SiO2, 실리케이트, 질화물 또는 질화된 실리케이트를 포함하는 CMOS 구조.
  10. 제1항에 있어서,
    상기 절연 중간층은 절연 금속 질화물을 포함하는 CMOS 구조.
  11. 제10항에 있어서,
    상기 절연 금속 질화물은 산소를 더 포함하는 CMOS 구조.
  12. 제1항에 있어서,
    상기 절연 중간층은 질화알루미늄(AlN), 산화질화알루미늄(AlOxNy), 질화붕소(BN), 산화질화붕소(BOxNy), 질화갈륨(GaN), 산화질화갈륨(GaON), 질화인듐(InN), 산화질화인듐(InON) 또는 이들의 조합을 포함하는 CMOS 구조.
  13. 제1항에 있어서,
    상기 절연 중간층은 AlN 또는 AlOxNy를 포함하는 CMOS 구조.
  14. 제1항에 있어서,
    상기 절연 중간층은 약 1Å에서 약 25Å까지의 두께를 갖는 CMOS 구조.
  15. 제1항에 있어서,
    상기 제1 게이트 컨덕터 및 상기 제2 게이트 컨덕터는 동일한 물질을 포함하는 CMOS 구조.
  16. 제1항에 있어서,
    상기 제1 게이트 컨덕터 및 상기 제2 게이트 컨덕터는 상이한 물질을 포함하는 CMOS 구조.
  17. 제1항에 있어서,
    상기 제1 게이트 컨덕터 및 상기 제2 게이트 컨덕터는 Si, Ge, SiGe, SiGeC, W, Ir, Re, Ru, Ti, Ta, Hf, Mo, Nb, Ni, Al, 금속 실리사이드(silicides), 금속성 질화물(metallic nitrides) 또는 이들의 조합을 포함하는 CMOS 구조.
  18. 제1항에 있어서,
    상기 제2 게이트 컨덕터는 적어도 붕소로 도핑된 폴리실리콘을 포함하고,
    상기 제1 게이트 컨덕터는 적어도 인으로 도핑된 폴리실리콘을 포함하는 CMOS 구조.
  19. 상보성 금속 산화물 반도체(CMOS) 구조로서,
    nFET 소자 영역 및 pFET 소자 영역을 포함하는 반도체 기판;
    상기 nFET 소자 영역 내의 적어도 하나의 nFET 소자 - 상기 적어도 하나의 nFET 소자는 nFET 소자 채널 및 상기 nFET 소자 채널 상부의 적어도 하나의 제1 게이트 적층에 의해 분리되는 n형 소스 및 드레인 영역을 포함하고, 상기 적어도 하나의 게이트 적층은 하프늄 함유 고율전율(k) 게이트 유전체 및 게이트 컨덕터를 포함함 - ; 및
    상기 pFET 소자 영역 내의 적어도 하나의 pFET 소자 - 상기 적어도 하나의 pFET 소자는 pFET 소자 채널 및 상기 pFET 소자 채널 상부의 적어도 하나의 제2 게이트 적층에 의해 분리되는 p형 소스 및 드레인 영역을 포함하고, 상기 적어도 하나의 제2 게이트 적층은 하프늄 함유 고유전율(k) 게이트 유전체, 알루미늄 질화물 함유 절연 중간막 및 게이트 컨덕터를 포함하며, 상기 알루미늄 질화물 함유 절연 중간층은 상기 하프늄 함유 고율전율(k) 게이트 유전체 및 상기 게이트 컨덕터 사이에 위치하는 CMOS 구조.
  20. 제19항에 있어서,
    상기 반도체 기판은 Si, Ge, SiGe, SiC, SiGeC, Si-온-절연체, SiGe-온-절연체, Ga, Gas, InAs, InP, 다른 Ⅲ/Ⅴ이나 Ⅱ/Ⅵ 화합물 반도체, 유기 반도체 또는 적층 반도체를 포함하는 CMOS 구조.
  21. 제19항에 있어서,
    상기 알루미늄 질화물 함유 절연 중간층은 산소를 더 포함하는 CMOS 구조.
  22. 제19항에 있어서,
    상기 하프늄 함유 고유전율(k) 게이트 유전체는 HfO2, 하프늄 실리케이트 또는 산화질화 하프늄 실리콘인 CMOS 구조.
  23. 제19항에 있어서,
    상기 알루미늄 질화물 함유 절연 중간층은 약 1Å에서 약 25Å까지의 두께를 갖는 CMOS 구조.
  24. 제19항에 있어서,
    상기 제1 게이트 컨덕터 및 상기 제2 게이트 컨덕터는 동일한 물질을 포함하는 CMOS 구조.
  25. 제19항에 있어서,
    상기 제1 게이트 컨덕터 및 상기 제2 게이트 컨덕터는 상이한 물질을 포함하는 CMOS 구조.
  26. 제19항에 있어서,
    상기 제1 게이트 컨덕터 및 상기 제2 게이트 컨덕터는 Si, Ge, SiGe, SiGeC, W, Ir, Re, Ru, Ti, Ta, Hf, Mo, Nb, Ni, Al, 금속 실리사이드, 금속성 질화물 또는 이들의 조합을 포함하는 CMOS 구조.
  27. 제19항에 있어서,
    상기 제2 게이트 컨덕터는 적어도 붕소로 도핑된 폴리실리콘을 포함하고,
    상기 제1 게이트 컨덕터는 적어도 인으로 도핑된 폴리실리콘을 포함하는 CMOS 구조.
  28. 개선된 문턱전압 및 평탄 대역 전압 안정성을 갖는 상보성 금속 산화물 반도체(CMOS) 구조를 형성하는 방법으로서,
    제1 소자 영역 및 제2 소자 영역을 갖는 반도체 기판을 제공하는 단계;
    상기 제1 소자 영역 및 상기 제2 소자 영역을 포함하는 상기 반도체 기판 상부에 유전체 적층 - 상기 유전체 적층은 고유전율(k) 유전체 상부에 절연 중간층을 포함함 - 을 형성하는 단계;
    상기 제2 소자 영역으로부터 상기 절연 중간층을 제거하지 않고 상기 제1 소자 영역으로부터 상기 절연 중간층을 제거하는 단계;
    상기 제2 소자 영역의 상기 절연 중간층 및 상기 제1 소자 영역의 상기 고유전율(k) 유전체 상부에 게이트 컨덕터를 형성하는 단계; 및
    상기 제2 소자 영역에 적어도 하나의 게이트 적층 및 상기 제1 소자 영역에 적어도 하나의 게이트 적층을 제공하기 위하여 상기 게이트 컨덕터, 상기 절연 중간층 및 상기 고유전율(k) 유전체를 에칭하는 단계
    를 포함하는 방법.
  29. 제28항에 있어서,
    상기 절연 중간층은 절연 금속 질화물을 포함하는 방법.
  30. 제29항에 있어서,
    상기 절연 금속 질화물은 산소를 더 포함하는 방법.
  31. 제28항에 있어서,
    상기 절연 중간층은 질화알루미늄(AlN), 산화질화알루미늄(AlOxNy), 질화붕소(BN), 산화질화붕소(BOxNy), 질화갈륨(GaN), 산화질화갈륨(GaON), 질화인듐(InN), 산화질화인듐(InON) 또는 이들의 조합을 포함하는 방법.
  32. 제28항에 있어서,
    상기 절연 중간층은 AlN 또는 AlOxNy를 포함하는 방법.
  33. 제28항에 있어서,
    상기 고유전율(k) 유전체는 HfO2, 하프늄 실리케이트 또는 산화질화 하프늄 실리콘을 포함하는 방법.
  34. 제28항에 있어서,
    상기 절연 중간층은 증착 또는 열 성장(thermal growing)에 의해 형성되는 방법.
  35. 제34항에 있어서,
    상기 증착은 도금(plating), 스퍼터링(sputtering), 원자층 화학기상증착(ALCVD) 또는 금속 유기 화학기상증착(MOCVD)을 포함하는 방법.
  36. 제28항에 있어서,
    상기 고유전율(k) 유전체는 증착 또는 열 성장에 의해 형성되는 방법.
  37. 제36항에 있어서,
    상기 증착은 화학기상증착(CVD), 플라즈마-인핸스드 CVD(PECVD), 금속 유기 화학기상증착(MOCVD), 고밀도 화학기상증착(HDCVD), 도금, 스퍼터링, 기화(evaporation) 또는 화학 용액 증착을 포함하는 방법.
  38. 제36항에 있어서,
    상기 열 성장은 산화, 질화 또는 산화질화(oxynitridation)를 포함하는 방법.
  39. 제28항에 있어서,
    상기 게이트 컨덕터는 Si, Ge, SiGe, SiGeC, W, Ir, Re, Ru, Ti, Ta, Hf, Mo, Nb, Ni, Al, 금속 실리사이드, 금속성 질화물 또는 이들의 조합을 포함하는 방법.
  40. 제28항에 있어서,
    상기 제2 소자 영역으로부터 상기 절연 중간층을 제거하지 않고 상기 제1 소자 영역으로부터 상기 절연 중간층을 제거하는 단계는,
    상기 제2 소자 영역 상부에 블록(block) 마스크를 형성하는 단계 - 상기 제1 소자 영역은 노출됨 - ; 및
    상기 제1 소자 영역으로부터 상기 절연 중간층을 에칭하는 단계 - 상기 에칭하는 단계는 상기 제1 소자 영역의 상기 블록 마스크 및 상기 고유전율(k) 유전체를 실질적으로 에칭하지 않고 상기 절연 중간층을 제거하는 에칭액을 포함함 -
    를 포함하는 방법.
  41. 제40항에 있어서, 상기 블록 마스크는 패터닝된 포토레지스트 층을 포함하는 방법.
  42. 제41항에 있어서,
    상기 블록 마스크를 형성하는 단계는,
    상기 반도체 기판 상부에 포토레지스트 층을 전면 증착(blanket deposition)하는 단계;
    상기 포토레지스트 층을 방사(radiation) 패턴에 노출시키는 단계; 및
    상기 제2 소자 영역 위에 놓이는 상기 블록 마스크를 제공하기 위해 상기 패턴을 상기 포토레지스트 층으로 현상하는(develop) 단계
    를 포함하는 방법.
  43. 제40항에 있어서,
    상기 블록 마스크는 산화실리콘, 탄화실리콘, 질화실리콘, 탄화질화실리콘, 실스퀴록산(silsequioxanes), 실록산(siloxanes) 및 BPSG(boron phosphate silicate glass)로 구성된 그룹에서 선택되는 유전체를 포함하는 방법.
  44. 제40항에 있어서,
    상기 에칭액은 HCl 및 산화제를 포함하는 습식 에칭인 방법.
  45. 제44항에 있어서,
    상기 에칭액은 약 1에서 약 7까지의 pH 범위를 갖는 방법.
  46. 제45항에 있어서,
    상기 에칭액은 약 2에서 약 6까지의 pH 범위를 갖는 방법.
  47. 제44항에 있어서,
    상기 에칭액은 3:1의 HCl/H2O2 과산화 용액을 포함하는 방법.
  48. 반도체 구조를 형성하는 방법으로서,
    반도체 기판을 제공하는 단계;
    하프늄 실리케이트 층 상부에 알루미늄 질화물 함유 절연층을 포함하는 상기 반도체 기판 상부에 유전체 적층을 형성하는 단계; 및
    상기 하프늄 실리케이트 층을 실질적으로 에칭하지 않고 상기 알루미늄 질화 물 함유 절연층을 선택적으로 에칭하는 단계
    를 포함하는 방법.
  49. 제48항에 있어서,
    상기 에칭하는 단계는 HCl 및 산화제를 포함하는 습식 에칭을 포함하는 방법.
  50. 제49항에 있어서,
    상기 에칭액은 약 1에서 약 7까지의 pH 범위를 갖는 방법.
  51. 제49항에 있어서,
    상기 에칭액은 약 2에서 약 6까지의 pH 범위를 갖는 방법.
  52. 제49항에 있어서,
    상기 에칭액은 3:1의 HCl/H2O2 과산화 용액을 포함하는 방법.
  53. 제49항에 있어서,
    상기 에칭하는 단계 이전에 노출된 상기 유전체 적층의 나머지 부분을 남겨두고 상기 유전체 적층의 영역 상부에 블록 마스크를 형성하는 단계를 더 포함하 고,
    상기 습식 에칭은 상기 블록 마스크 또는 상기 하프늄 실리케이트 층을 실질적으로 에칭하지 않고 상기 유전체 적층의 상기 나머지 부분으로부터 상기 알루미늄 질화물 함유 절연층을 제거하는 방법.
  54. 제53항에 있어서,
    상기 블록 마스크는 포토레지스트, 산화실리콘, 탄화실리콘, 질화실리콘, 탄화질화실리콘, 실스퀴록산, 실록산 또는 BPSG(boron phosphate silicate glass)를 포함하는 방법.
KR1020067025229A 2004-06-04 2005-03-30 고유전율(k) 유전체가 있는 CMOS 소자 제조에서 문턱전압을 제어하는 장벽층의 선택적인 구현 KR100951227B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/863,830 US7105889B2 (en) 2004-06-04 2004-06-04 Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US10/863,830 2004-06-04
PCT/US2005/010825 WO2005122286A2 (en) 2004-06-04 2005-03-30 Selective implementation of barrier layers to achieve threshold voltage control in cmos device fabrication with high k dielectrics

Publications (2)

Publication Number Publication Date
KR20070030807A true KR20070030807A (ko) 2007-03-16
KR100951227B1 KR100951227B1 (ko) 2010-04-05

Family

ID=35446743

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067025229A KR100951227B1 (ko) 2004-06-04 2005-03-30 고유전율(k) 유전체가 있는 CMOS 소자 제조에서 문턱전압을 제어하는 장벽층의 선택적인 구현

Country Status (7)

Country Link
US (6) US7105889B2 (ko)
EP (1) EP1766691B1 (ko)
JP (1) JP4711444B2 (ko)
KR (1) KR100951227B1 (ko)
CN (1) CN101427386B (ko)
TW (1) TWI380378B (ko)
WO (1) WO2005122286A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9018710B2 (en) 2012-12-28 2015-04-28 SK Hynix Inc. Semiconductor device with metal gate and high-k materials and method for fabricating the same
US9219160B2 (en) 2011-09-29 2015-12-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
KR100618815B1 (ko) * 2003-11-12 2006-08-31 삼성전자주식회사 이종의 게이트 절연막을 가지는 반도체 소자 및 그 제조방법
TWI258811B (en) * 2003-11-12 2006-07-21 Samsung Electronics Co Ltd Semiconductor devices having different gate dielectrics and methods for manufacturing the same
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US8178902B2 (en) * 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7271455B2 (en) * 2004-07-14 2007-09-18 International Business Machines Corporation Formation of fully silicided metal gate using dual self-aligned silicide process
US7416933B2 (en) * 2004-08-06 2008-08-26 Micron Technology, Inc. Methods of enabling polysilicon gate electrodes for high-k gate dielectrics
JP2006086511A (ja) * 2004-08-17 2006-03-30 Nec Electronics Corp 半導体装置
US7492006B2 (en) * 2004-08-30 2009-02-17 Samsung Electronics Co., Ltd. Semiconductor transistors having surface insulation layers and methods of fabricating such transistors
JP4309320B2 (ja) * 2004-09-13 2009-08-05 株式会社東芝 半導体装置及びその製造方法
JP2006108439A (ja) * 2004-10-06 2006-04-20 Samsung Electronics Co Ltd 半導体装置
US20060094180A1 (en) * 2004-11-02 2006-05-04 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US20060091483A1 (en) * 2004-11-02 2006-05-04 Doczy Mark L Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US7242055B2 (en) * 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
US7645687B2 (en) * 2005-01-20 2010-01-12 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate variable work function gates for FUSI devices
JP4372024B2 (ja) 2005-02-14 2009-11-25 株式会社東芝 Cmos半導体装置
US7384869B2 (en) * 2005-04-07 2008-06-10 Texas Instruments Incorporated Protection of silicon from phosphoric acid using thick chemical oxide
US7202535B2 (en) * 2005-07-14 2007-04-10 Infineon Technologies Ag Manufacturing method for an integrated semiconductor structure and corresponding integrated semiconductor structure
US7151023B1 (en) * 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
KR100706784B1 (ko) * 2005-08-08 2007-04-12 삼성전자주식회사 반도체 장치 및 그 제조 방법.
US7622387B2 (en) * 2005-08-29 2009-11-24 Freescale Semiconductor, Inc. Gate electrode silicidation process
US7655994B2 (en) 2005-10-26 2010-02-02 International Business Machines Corporation Low threshold voltage semiconductor device with dual threshold voltage control means
US20080001183A1 (en) * 2005-10-28 2008-01-03 Ashok Kumar Kapoor Silicon-on-insulator (SOI) junction field effect transistor and method of manufacture
JP2007157744A (ja) * 2005-11-30 2007-06-21 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2007158065A (ja) * 2005-12-06 2007-06-21 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
KR100744264B1 (ko) * 2005-12-28 2007-07-30 동부일렉트로닉스 주식회사 반도체소자의 제조 방법
US7833849B2 (en) * 2005-12-30 2010-11-16 International Business Machines Corporation Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
CN101356639B (zh) * 2006-01-06 2010-12-08 日本电气株式会社 半导体器件及其制造工艺
US20070161246A1 (en) * 2006-01-10 2007-07-12 Texas Instruments Incorporated Process For Selectively Removing Dielectric Material in the Presence of Metal Silicide
US8912014B1 (en) 2006-01-18 2014-12-16 Spansion Llc Controlling the latchup effect
JP5177954B2 (ja) * 2006-01-30 2013-04-10 キヤノン株式会社 電界効果型トランジスタ
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
JP2007243009A (ja) * 2006-03-10 2007-09-20 Renesas Technology Corp 半導体装置およびその製造方法
US20070221993A1 (en) * 2006-03-27 2007-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a thermally stable silicide
EP1863072A1 (en) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Method for modulating the effective work function
EP1863097A1 (en) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Method for modulating the effective work function
JP4282691B2 (ja) * 2006-06-07 2009-06-24 株式会社東芝 半導体装置
JP5034332B2 (ja) * 2006-06-14 2012-09-26 富士通セミコンダクター株式会社 半導体装置の製造方法
US20080017936A1 (en) * 2006-06-29 2008-01-24 International Business Machines Corporation Semiconductor device structures (gate stacks) with charge compositions
JP2008060538A (ja) * 2006-07-31 2008-03-13 Toshiba Corp 半導体装置およびその製造方法
US7741230B2 (en) * 2006-08-08 2010-06-22 Intel Corporation Highly-selective metal etchants
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
WO2008041461A1 (fr) * 2006-09-29 2008-04-10 Tsurumi Soda Co., Ltd. Liquide de gravure pour polymère conducteur et procédé de formation de motif sur un polymère conducteur
JP5020591B2 (ja) * 2006-09-29 2012-09-05 鶴見曹達株式会社 導電性高分子用エッチング液および導電性高分子をパターニングする方法
EP1914800A1 (en) * 2006-10-20 2008-04-23 Interuniversitair Microelektronica Centrum Method of manufacturing a semiconductor device with multiple dielectrics
KR20080046438A (ko) * 2006-11-22 2008-05-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2008131023A (ja) * 2006-11-27 2008-06-05 Nec Electronics Corp 半導体装置およびその製造方法
EP1944801A1 (en) * 2007-01-10 2008-07-16 Interuniversitair Microelektronica Centrum Methods for manufacturing a CMOS device with dual work function
US20080206973A1 (en) * 2007-02-26 2008-08-28 Texas Instrument Inc. Process method to optimize fully silicided gate (FUSI) thru PAI implant
US7629212B2 (en) * 2007-03-19 2009-12-08 Texas Instruments Incorporated Doped WGe to form dual metal gates
US8025812B2 (en) * 2007-04-27 2011-09-27 International Business Machines Corporation Selective etch of TiW for capture pad formation
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US20080277726A1 (en) * 2007-05-08 2008-11-13 Doris Bruce B Devices with Metal Gate, High-k Dielectric, and Butted Electrodes
US8183137B2 (en) * 2007-05-23 2012-05-22 Texas Instruments Incorporated Use of dopants to provide low defect gate full silicidation
KR100909967B1 (ko) * 2007-06-08 2009-07-29 삼성전자주식회사 반도체 소자의 제조방법
ATE499704T1 (de) * 2007-06-25 2011-03-15 Imec Halbleiterbauelement mit gate-elektroden mit unterschiedlicher austrittsarbeit und seine herstellungsmethode
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
US7785952B2 (en) * 2007-10-16 2010-08-31 International Business Machines Corporation Partially and fully silicided gate stacks
US7732872B2 (en) * 2007-10-25 2010-06-08 International Business Machines Corporation Integration scheme for multiple metal gate work function structures
US20090108294A1 (en) * 2007-10-30 2009-04-30 International Business Machines Corporation Scalable high-k dielectric gate stack
US7790592B2 (en) * 2007-10-30 2010-09-07 International Business Machines Corporation Method to fabricate metal gate high-k devices
US7718496B2 (en) 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
JP2009141040A (ja) * 2007-12-05 2009-06-25 Renesas Technology Corp 半導体装置及びその製造方法
TWI358467B (en) * 2007-12-07 2012-02-21 Nanya Technology Corp Etchant for metal alloy having hafnium and molybde
US20090152636A1 (en) 2007-12-12 2009-06-18 International Business Machines Corporation High-k/metal gate stack using capping layer methods, ic and related transistors
US7728392B2 (en) * 2008-01-03 2010-06-01 International Business Machines Corporation SRAM device structure including same band gap transistors having gate stacks with high-K dielectrics and same work function
US8097500B2 (en) * 2008-01-14 2012-01-17 International Business Machines Corporation Method and apparatus for fabricating a high-performance band-edge complementary metal-oxide-semiconductor device
US7749847B2 (en) * 2008-02-14 2010-07-06 International Business Machines Corporation CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
JP2009200211A (ja) * 2008-02-21 2009-09-03 Renesas Technology Corp 半導体装置およびその製造方法
US7947549B2 (en) * 2008-02-26 2011-05-24 International Business Machines Corporation Gate effective-workfunction modification for CMOS
JP5349903B2 (ja) * 2008-02-28 2013-11-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US20090224263A1 (en) * 2008-03-06 2009-09-10 Toshiba America Electronic Components, Inc. Generating Stress in a Field Effect Transistor
US7964467B2 (en) * 2008-03-26 2011-06-21 International Business Machines Corporation Method, structure and design structure for customizing history effects of soi circuits
US8420460B2 (en) 2008-03-26 2013-04-16 International Business Machines Corporation Method, structure and design structure for customizing history effects of SOI circuits
US8410554B2 (en) * 2008-03-26 2013-04-02 International Business Machines Corporation Method, structure and design structure for customizing history effects of SOI circuits
US7816200B2 (en) * 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US9640638B2 (en) * 2008-05-15 2017-05-02 Great Wall Semiconductor Corporation Semiconductor device and method of forming a power MOSFET with interconnect structure to achieve lower RDSON
US7498271B1 (en) 2008-06-24 2009-03-03 International Business Machines Corporation Nitrogen based plasma process for metal gate MOS device
US8525263B2 (en) * 2009-01-19 2013-09-03 International Business Machines Corporation Programmable high-k/metal gate memory device
JP2010182822A (ja) * 2009-02-04 2010-08-19 Renesas Electronics Corp 半導体装置およびその製造方法
US7943460B2 (en) 2009-04-20 2011-05-17 International Business Machines Corporation High-K metal gate CMOS
US8680629B2 (en) 2009-06-03 2014-03-25 International Business Machines Corporation Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
JP5375362B2 (ja) * 2009-06-24 2013-12-25 富士通セミコンダクター株式会社 半導体装置の製造方法
CN101930915B (zh) * 2009-06-26 2012-05-23 中国科学院微电子研究所 一种钼铝氮金属栅的制备方法
US8173531B2 (en) * 2009-08-04 2012-05-08 International Business Machines Corporation Structure and method to improve threshold voltage of MOSFETS including a high K dielectric
US20110147764A1 (en) * 2009-08-27 2011-06-23 Cree, Inc. Transistors with a dielectric channel depletion layer and related fabrication methods
US20110068368A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device comprising a honeycomb heteroepitaxy
US8274116B2 (en) 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
CN102087969A (zh) * 2009-12-02 2011-06-08 中国科学院微电子研究所 一种全硅化金属栅的制备方法
US8598027B2 (en) 2010-01-20 2013-12-03 International Business Machines Corporation High-K transistors with low threshold voltage
KR20110090442A (ko) * 2010-02-04 2011-08-10 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US8133746B2 (en) * 2010-03-01 2012-03-13 International Business Machines Corporation Method for semiconductor gate hardmask removal and decoupling of implants
US8436422B2 (en) * 2010-03-08 2013-05-07 Sematech, Inc. Tunneling field-effect transistor with direct tunneling for enhanced tunneling current
US8435878B2 (en) 2010-04-06 2013-05-07 International Business Machines Corporation Field effect transistor device and fabrication
US8350341B2 (en) 2010-04-09 2013-01-08 International Business Machines Corporation Method and structure for work function engineering in transistors including a high dielectric constant gate insulator and metal gate (HKMG)
US8048738B1 (en) * 2010-04-14 2011-11-01 Freescale Semiconductor, Inc. Method for forming a split gate device
US8492247B2 (en) 2010-08-17 2013-07-23 International Business Machines Corporation Programmable FETs using Vt-shift effect and methods of manufacture
JP2012087392A (ja) * 2010-10-22 2012-05-10 Shin-Etsu Chemical Co Ltd 窒化アルミニウム膜の成膜方法
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
US8432751B2 (en) * 2010-12-22 2013-04-30 Intel Corporation Memory cell using BTI effects in high-k metal gate MOS
US8304306B2 (en) * 2011-03-28 2012-11-06 International Business Machines Corporation Fabrication of devices having different interfacial oxide thickness via lateral oxidation
US8524564B2 (en) * 2011-08-05 2013-09-03 Globalfoundries Inc. Full silicidation prevention via dual nickel deposition approach
WO2013047629A1 (en) 2011-09-29 2013-04-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN103107091B (zh) * 2011-11-15 2016-06-22 中国科学院微电子研究所 一种半导体结构及其制造方法
US8564074B2 (en) 2011-11-29 2013-10-22 International Business Machines Corporation Self-limiting oxygen seal for high-K dielectric and design structure
US8836009B2 (en) * 2011-12-01 2014-09-16 National Chiao Tung University Flash memory
US8420474B1 (en) 2012-01-11 2013-04-16 International Business Machines Corporation Controlling threshold voltage in carbon based field effect transistors
US9093558B2 (en) * 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
KR20140032716A (ko) 2012-09-07 2014-03-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9299802B2 (en) 2012-10-28 2016-03-29 International Business Machines Corporation Method to improve reliability of high-K metal gate stacks
US9059315B2 (en) 2013-01-02 2015-06-16 International Business Machines Corporation Concurrently forming nFET and pFET gate dielectric layers
US20140210011A1 (en) * 2013-01-31 2014-07-31 Globalfoundries Inc Dual Silicide Process
KR20140121617A (ko) * 2013-04-08 2014-10-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP2014220274A (ja) * 2013-05-01 2014-11-20 株式会社東芝 半導体装置及びその製造方法
US9076651B1 (en) * 2013-12-20 2015-07-07 Intermolecular, Inc. Gate stacks and ohmic contacts for SiC devices
US9418870B2 (en) * 2014-02-12 2016-08-16 International Business Machines Corporation Silicon germanium-on-insulator formation by thermal mixing
CN103887163B (zh) * 2014-04-03 2016-04-20 中国科学院半导体研究所 用于SiC基MOS器件栅介质薄膜的制备方法
US10134732B2 (en) 2014-04-07 2018-11-20 International Business Machines Corporation Reduction of negative bias temperature instability
US9449887B2 (en) 2014-12-08 2016-09-20 Globalfoundries Inc. Method of forming replacement gate PFET having TiALCO layer for improved NBTI performance
CN105826256B (zh) * 2015-01-06 2020-02-07 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的形成方法
CN104952734B (zh) * 2015-07-16 2020-01-24 矽力杰半导体技术(杭州)有限公司 半导体结构及其制造方法
US9960161B2 (en) 2016-01-12 2018-05-01 International Business Machines Corporation Low resistive electrode for an extendable high-k metal gate stack
US10318043B2 (en) * 2016-03-24 2019-06-11 Gm Global Technology Operations Llc. Dynamic adjustment of touch sensitive area in a display assembly
US9659655B1 (en) 2016-09-08 2017-05-23 International Business Machines Corporation Memory arrays using common floating gate series devices
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
CN108346577B (zh) * 2017-01-22 2021-04-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN108630700A (zh) * 2017-03-22 2018-10-09 中芯国际集成电路制造(上海)有限公司 闪存器件及其制造方法
FR3069370B1 (fr) * 2017-07-21 2021-10-22 St Microelectronics Rousset Circuit integre contenant une structure de leurre
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102019120692A1 (de) * 2019-07-31 2021-02-04 Infineon Technologies Ag Leistungshalbleitervorrichtung und Verfahren
US11189616B2 (en) 2019-09-17 2021-11-30 International Business Machines Corporation Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices
CN110854076B (zh) * 2019-11-15 2022-05-31 西安微电子技术研究所 一种提高栅氧可靠性和抗辐射特性的HTO/SiO2复合栅CMOS器件及工艺
US11411081B2 (en) * 2020-04-22 2022-08-09 Globalfoundries U.S. Inc. Field effect transistor (FET) stack and methods to form same

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01244666A (ja) * 1988-03-25 1989-09-29 Nec Corp 半導体装置の製造方法
US6780718B2 (en) * 1993-11-30 2004-08-24 Stmicroelectronics, Inc. Transistor structure and method for making same
US6048769A (en) * 1997-02-28 2000-04-11 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US5763922A (en) 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
GB2336455B (en) 1998-04-16 2001-08-15 Apollo Fire Detectors Ltd Detecting device and an alarm system
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
US6541079B1 (en) 1999-10-25 2003-04-01 International Business Machines Corporation Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
KR100368311B1 (ko) * 2000-06-27 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
US6831339B2 (en) * 2001-01-08 2004-12-14 International Business Machines Corporation Aluminum nitride and aluminum oxide/aluminum nitride heterostructure gate dielectric stack based field effect transistors and method for forming same
JP4895430B2 (ja) * 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US6573134B2 (en) * 2001-03-27 2003-06-03 Sharp Laboratories Of America, Inc. Dual metal gate CMOS devices and method for making the same
US7358578B2 (en) * 2001-05-22 2008-04-15 Renesas Technology Corporation Field effect transistor on a substrate with (111) orientation having zirconium oxide gate insulation and cobalt or nickel silicide wiring
US6518106B2 (en) * 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
US6891231B2 (en) * 2001-06-13 2005-05-10 International Business Machines Corporation Complementary metal oxide semiconductor (CMOS) gate stack with high dielectric constant gate dielectric and integrated diffusion barrier
US6803248B2 (en) * 2001-12-21 2004-10-12 Freescale Semiconductor, Inc. Chemistry for etching quaternary interface layers on InGaAsP mostly formed between GaAs and InxGa(1-x)P layers
KR100502407B1 (ko) * 2002-04-11 2005-07-19 삼성전자주식회사 고유전막과 높은 도전성의 전극을 갖는 게이트 구조체 및그 형성 방법
JP2003309188A (ja) * 2002-04-15 2003-10-31 Nec Corp 半導体装置およびその製造方法
JP2004079729A (ja) * 2002-08-15 2004-03-11 Renesas Technology Corp 半導体装置
US6846734B2 (en) * 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR100486297B1 (ko) * 2003-01-08 2005-04-29 삼성전자주식회사 게이트 상에 두꺼운 금속 실리사이드층을 형성하는 방법
JP2004241733A (ja) * 2003-02-10 2004-08-26 Fujitsu Ltd 半導体装置及びその製造方法
JP4524995B2 (ja) * 2003-03-25 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置
FR2853134B1 (fr) * 2003-03-25 2005-07-01 St Microelectronics Sa Procede de fabrication d'un transistor a grille metallique, et transistor correspondant
JP3790242B2 (ja) * 2003-09-26 2006-06-28 株式会社東芝 半導体装置及びその製造方法
TWI258811B (en) * 2003-11-12 2006-07-21 Samsung Electronics Co Ltd Semiconductor devices having different gate dielectrics and methods for manufacturing the same
US7067379B2 (en) * 2004-01-08 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide gate transistors and method of manufacture
US20050258491A1 (en) * 2004-05-14 2005-11-24 International Business Machines Corporation Threshold and flatband voltage stabilization layer for field effect transistors with high permittivity gate oxides
US7183187B2 (en) * 2004-05-20 2007-02-27 Texas Instruments Incorporated Integration scheme for using silicided dual work function metal gates
US7271455B2 (en) * 2004-07-14 2007-09-18 International Business Machines Corporation Formation of fully silicided metal gate using dual self-aligned silicide process
US7242055B2 (en) * 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9219160B2 (en) 2011-09-29 2015-12-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9018710B2 (en) 2012-12-28 2015-04-28 SK Hynix Inc. Semiconductor device with metal gate and high-k materials and method for fabricating the same

Also Published As

Publication number Publication date
CN101427386A (zh) 2009-05-06
WO2005122286A3 (en) 2009-04-16
JP2008511971A (ja) 2008-04-17
KR100951227B1 (ko) 2010-04-05
US20090152642A1 (en) 2009-06-18
US7928514B2 (en) 2011-04-19
CN101427386B (zh) 2011-01-26
US7479683B2 (en) 2009-01-20
EP1766691A4 (en) 2011-06-29
US7745278B2 (en) 2010-06-29
EP1766691B1 (en) 2013-07-03
JP4711444B2 (ja) 2011-06-29
EP1766691A2 (en) 2007-03-28
TWI380378B (en) 2012-12-21
WO2005122286A2 (en) 2005-12-22
US7105889B2 (en) 2006-09-12
US20110165767A1 (en) 2011-07-07
US8193051B2 (en) 2012-06-05
US7452767B2 (en) 2008-11-18
US20050269635A1 (en) 2005-12-08
US20050269634A1 (en) 2005-12-08
US20090011610A1 (en) 2009-01-08
US20060275977A1 (en) 2006-12-07

Similar Documents

Publication Publication Date Title
KR100951227B1 (ko) 고유전율(k) 유전체가 있는 CMOS 소자 제조에서 문턱전압을 제어하는 장벽층의 선택적인 구현
JP4791332B2 (ja) 二重金属ゲートを含む半導体構造及びその製造方法(二重金属ゲートの自己整合集積化)
US7242055B2 (en) Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
KR101071793B1 (ko) 이중 임계 전압 제어 수단을 구비한 임계 전압이 낮은반도체 소자
KR101055930B1 (ko) 고성능 cmos 회로 및 그 제조 방법
JP5270086B2 (ja) pFET材料としての金属酸窒化物を用いた半導体構造およびその製造方法
US7611979B2 (en) Metal gates with low charge trapping and enhanced dielectric reliability characteristics for high-k gate dielectric stacks
US20050258491A1 (en) Threshold and flatband voltage stabilization layer for field effect transistors with high permittivity gate oxides

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130304

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140217

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160303

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190227

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20200227

Year of fee payment: 11