JP5034332B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5034332B2
JP5034332B2 JP2006165225A JP2006165225A JP5034332B2 JP 5034332 B2 JP5034332 B2 JP 5034332B2 JP 2006165225 A JP2006165225 A JP 2006165225A JP 2006165225 A JP2006165225 A JP 2006165225A JP 5034332 B2 JP5034332 B2 JP 5034332B2
Authority
JP
Japan
Prior art keywords
amorphous silicon
gate pattern
silicon layer
insulating film
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006165225A
Other languages
English (en)
Other versions
JP2007335606A (ja
Inventor
秀暢 福留
陽一 籾山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2006165225A priority Critical patent/JP5034332B2/ja
Priority to US11/760,907 priority patent/US20080009111A1/en
Publication of JP2007335606A publication Critical patent/JP2007335606A/ja
Priority to US12/364,211 priority patent/US8546247B2/en
Application granted granted Critical
Publication of JP5034332B2 publication Critical patent/JP5034332B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Description

本発明は、半導体基板上に形成されるMOSトランジスタの製造に関するものであり、より具体的にはショートチャネル効果を防止するために設けられるポケット領域を有するMOSトランジスタの形成工程に関するものである。またMOSトランジスタのチャネル領域の結晶格子歪みを利用して、MOSトランジスタの特性を向上させるものである。
近年、半導体集積回路の消費電力削減、動作速度の向上を図る為、また集積度を高めて製造コストを抑える為、構成要素のMOSトランジスタはスケーリング則に従って微細化されている。MOSトランジスタのゲート長が短くなると、トランジスタがオフ状態でもソース/ドレイン間にパンチスルー電流が流れてしまう、いわゆるショートチャネル効果が生じ問題となる。
ショートチャネル効果を防止するための有効な手段として、ポケット領域の形成が挙げられる。ポケット領域とは、MOSトランジスタのゲート端部からゲート下に張り出して形成された、チャネル領域と同一導電型不純物を高濃度に注入した領域をいい、ドレイン端における空乏層の広がりを抑制するために設けられる。
ポケット領域は、一般的には以下のような工程で形成される。まずシリコン基板上にゲート絶縁膜を形成し、ゲート絶縁膜上にゲート電極となる層として多結晶シリコン層を堆積する。次いで多結晶シリコン層を加工してゲートパターンを形成した後、ゲートパターンをマスクとしてチャネル不純物と同じ導電型の不純物をシリコン基板に注入する。ポケット不純物注入は、ゲートパターンの下方まで不純物を導入させるために、通常、基板表面に対して斜め方向から行われる。その後、不純物を活性化させるための高温アニールを行なう。このようなポケット領域の形成に関する技術として、本発明者等は以下の技術を提案している。
特開2004−235603号公報 米国特許第6977417号明細書
図1(A)、(B)は従来技術に基づいて作成したMOSトランジスタの閾値電圧値(以下Vth)とゲート長の関係を示すグラフである。図1(A)はnMOSトランジスタ、図1(B)はpMOSトランジスタの特性である。縦軸はVth(V)を表し、横軸はゲート長(nm)を表す。ゲート長が70nm以下になるとnMOSトランジスタ、pMOSトランジスタ共にVthの絶対値が減少し、ショートチャネル効果が現れていることが分かる。
図2は、従来技術に基づいて作成したMOSトランジスタがオフ状態でのゲートリーク電流及びオーバーラップ容量を示すグラフである。縦軸はゲートリーク電流(A/μm)を表し、横軸はオーバーラップ容量(fF/μm)を表す。図中、▽はSb、△はB、○はP、□はInをそれぞれポケット不純物として注入した場合のデータを表す。ここでオーバーラップ容量とは、ゲート電極とエクステンション領域がゲート絶縁膜を挟んで形成するキャパシタの蓄積容量のことをいう。エクステンション領域とは、MOSトランジスタのショートチャネル効果を防止するために、ゲートパターン端下部に位置するシリコン基板表面にチャネル領域と反対導電型の不純物を浅く注入して形成される拡散領域をいう。
ゲート端下部のシリコン基板表面にはポケット不純物とエクステンション不純物の両方が存在し、互いに反対導電型の不純物として相殺し合う。そのため、オーバーラップ容量を制御するためにはポケット領域を制御よく形成する技術が必要となる。
本願発明は上記の要求に鑑みてなされたものであり、ポケット領域の形成工程を改善することによってMOSトランジスタの特性を向上させることを目的とするものである。
本願発明者は、アモルファスシリコンをゲート電極に用いることによって従来構造における課題が改善されることを見出した。特に本願発明者等は、半導体基板上にゲート絶縁膜を形成する工程と、前記ゲート絶縁膜上にアモルファスシリコン層を堆積する工程と、前記アモルファスシリコン層を加工して、MOSトランジスタのゲートパターンを形成する工程と、前記半導体基板表面に対して斜め方向から、前記ゲートパターンのアモルファスシリコン層をマスクとして、前記MOSトランジスタのチャネル領域と同一導電型の不純物注入を行なう工程とを有する半導体装置の製造方法を提案する。
図3は従来技術に基づいて作成したMOSトランジスタのポケット領域について説明する図である。図3(A)、(B)はそれぞれMOSトランジスタの断面図及び平面図である。シリコン基板1上にゲート絶縁膜2及び多結晶シリコンゲートパターン3pを形成し、シリコン基板1の表面に対して斜め方向からポケット不純物注入を行なうと、多結晶シリコンゲートパターン3pの側壁に注入されたポケット不純物の一部は、チャネリングと呼ばれる現象により結晶格子に沿って多結晶シリコン層3p内を長距離移動し、ゲートパターン下のチャネル領域に達する。多結晶シリコンは複数の結晶粒で構成され、それぞれの結晶粒で結晶方位が異なるため、チャネリングによって不純物が移動する距離は一様ではない。その結果、ゲートパターン下のシリコン基板1に形成されるポケット領域5のプロファイルには、図3(B)に示されるようなゆらぎが生じる。図3(B)ではゆらぎが一定の周期を持っているように図示したが、シリコン結晶の粒径や結晶方向に起因して多くの場合はランダムなゆらぎになる。
これに対して本願発明は、アモルファスシリコンをゲート電極として用いる点に特徴を有する。この特徴により、ポケット不純物注入工程でゲートパターンの側壁に注入された不純物がランダムにチャネリングを起こすことはなく、ポケット領域のゆらぎを抑えることができる。
[第1実施形態]
図4(A)〜(F)は、第1実施形態におけるnMOSトランジスタの工程断面図である。まず図4(A)に示すように、STI(Shallow Trench Isolation)法によりシリコン基板(半導体基板)11に素子分離領域14を形成する。素子分離の別の方法としてLOCOS(Local Oxidation of Silicon)法を用いてもよい。
次に図4(B)に示すように、素子分離領域14で分離された活性領域上にゲート絶縁膜12を形成する。例えば熱酸化法により、厚さ2nmのシリコン酸化膜をシリコン基板11表面に形成する。ゲート絶縁膜としてはシリコン酸化膜に限定されるものではなく、シリコン窒化膜やシリコン酸化膜とシリコン窒化膜の積層構造、もしくはHfO2などの高誘電体膜を用いてもよい。次にゲート絶縁膜12上に、アモルファスシリコン層13を堆積させる。例えばCVD法を用いて膜厚100nmのアモルファスシリコンを堆積させる。ゲート絶縁膜12、アモルファスシリコン層13の厚さや成膜方法は本実施例に限定されるものではない。
次に図4(C)に示すように、ゲート絶縁膜12及びアモルファスシリコン層13をゲートパターンに加工する。nMOSトランジスタのゲート長を約40nm〜90nm、pMOSトランジスタのゲート長を約50〜100nmで作成した。
次に図4(D)に示すように、ゲートパターンのアモルファスシリコン層13aをマスクとして、チャネル領域と同一導電型の不純物をシリコン基板11に注入し、ポケット領域15を形成する。ここではnMOSトランジスタを例として説明しているため、BやInなどの不純物をイオン注入する。Inのイオン注入の条件としては、例えば加速エネルギーを20keV〜150keV、一回のドーズ量を1×1012/cm2〜2×1013/cm2とし、半導体基板11の表面に垂直な方向から傾斜させて4方向からイオン注入する。この傾斜角は、基板表面に垂直な方向を0°として、7°〜45°で行なう。傾斜角を7°以上とすることにより、シリコン基板11に注入された不純物がチャネリングを起こすことを防止できる。また、隣接素子が障害となって不純物が注入領域に適切に注入されないということが生じないよう、傾斜角を45°以下とした。その他、Bのイオン注入条件としては、加速エネルギーを5keV〜30keV、一回のドーズ量を1×1012/cm2〜2×1013/cm2とし、傾斜角7°〜45°で4方向から行なう。
図4(D)の平面図はポケット不純物が注入された領域を示す。ゲートパターンのアモルファスシリコンゲート層13aがマスクとして機能するため、不純物はゲート両端部分からゲート下に少し入り込んだ形でポケット領域を形成する。従来技術として説明した図3(B)と比較すると、不純物が注入された領域の縁は、ゲート端からの距離が等しく直線状のプロファイルを示した。
次に図4(E)に示すように、エクステンション領域16を形成するためのイオン注入を行なう。エクステンション不純物としてはAs、P、Sbなどがある。Asを用いた場合のエクステンション注入の条件は、例えば加速エネルギー0.5keV〜5keV、傾斜角0°〜10°とし、総ドーズ量1×1014/cm2〜3×1015/cm2とする。Pのイオン注入の条件としては、例えば加速エネルギー2keV〜3keV、総ドーズ量1×1014/cm2〜2×1015/cm2、傾斜角0°〜10°とする。
最後に図4(F)に示すように、必要に応じてゲートパターン側壁にサイドウォールスペーサ17sを形成し、ソース/ドレイン不純物注入を行ないソース/ドレイン領域18を形成する。ここではnMOSトランジスタを例として説明しているため、PやAsといったn型不純物をイオン注入する。
尚、図4はnMOSトランジスタの製造工程について説明したが、本実施形態はpMOSトランジスタの製造工程にも適用される。
図5(A)、(B)は本実施形態に基づいて作成したMOSトランジスタのVthとゲート長の関係を従来特性と比較して示すグラフである。図5(A)はnMOSトランジスタ、図5(B)はpMOSトランジスタの特性を示す。図中、○は従来のデータであり、●は本実施形態のデータである。縦軸はVth(V)を表し、横軸はゲート長(nm)を表す。図5(A)、(B)によると、アモルファスシリコンをゲート電極として用いた場合、多結晶シリコンをゲート電極として用いた従来のデバイスに比べてVthの絶対値が減少し始めるゲート長値は小さくなっていることが分かる。これはアモルファスシリコンをゲート電極に用いることでポケット領域のゆらぎを抑制し、ショートチャネル効果対策としてのポケットの機能が向上したことに起因するものと考えられる。
図6は本実施形態に基づいて作成したMOSトランジスタのゲートリーク電流及びオーバーラップ容量を、従来特性と比較して示すグラフである。図中▽、△、○、□はそれぞれSb、B、P、Inをポケット不純物として注入した従来のデータであり、▼、▲、●、■はそれぞれSb、B、P、Inをポケット不純物として注入した本実施形態のデータである。
縦軸はゲートリーク電流(A/μm)を表し、横軸はオーバーラップ容量(fF/μm)を表す。図6によると、アモルファスシリコンゲート電極を使用した場合、多結晶シリコンゲート電極を用いた場合に比べてオーバーラップ容量が減少していることが分かる。具体的にはBをポケット不純物として用いた場合は13%、Pの場合では12%、Inの場合では5%、Sbの場合では1%減少した。また、オーバーラップ容量の減少に伴いゲートリーク電流も減少した。
これは、第1実施形態によってポケット領域のゆらぎを抑え、結果としてエクステンション領域がチャネル領域方向に向かって余分に染み出すことを抑えたためである。
図7(A)〜(D)は第1実施形態において、さらにゲート電極のシリサイド化工程を示す断面図である。必要に応じて図4(F)の工程の後に行なう。
まず図7(A)に示すように、絶縁膜173を全面に堆積させ、CMP(Chemical Mechanical Polishing)を用いてアモルファスシリコンゲート電極13aの上面を露出させる。
次に図7(B)に示すようにNiやCo等のメタル層100をアモルファスシリコンゲートパターン13a上に堆積させる。
次にアニール処理を行なってメタル層100とアモルファスシリコンゲートパターン13aを反応させることにより、メタルシリサイド電極132を形成する。
図7(D)に示すように未反応のメタル層100を除去し、絶縁膜173を除去する。これらの工程によりゲート電極は低抵抗化され、MOSトランジスタが高速化される。
尚、本実施形態はポケット領域の形成工程だけでなく、エクステンション注入を基板表面に対して斜め方向から行なう場合にも効果を有する。この場合も、ゲートパターン側面から注入されたエクステンション不純物がゲートパターン内でチャネリングすることを防止し、エクステンション不純物の注入領域のゆらぎを防止できる。
第1実施形態において、アモルファスシリコンゲート電極を用いたMOSトランジスタの形成について説明した。アモルファスシリコンとして、不純物がドープされていないいわゆるノンドープドアモルファスシリコンを用いた場合、ソース/ドレイン不純物注入工程で生じる別の問題点について説明する。
図4(F)の工程において、シリコン基板11にソース/ドレイン領域を形成するために不純物注入を行なうと同時に、アモルファスシリコンゲートパターン13aにも不純物を注入する。ここでゲートパターンがアモルファス状態であると、ゲートパターン上面から注入された不純物はチャネリングを起こすことなく上面部に留まる。そうするとゲート絶縁膜直上のゲートパターンに空乏層が生じ、実効的なゲート絶縁膜厚さが増加し、MOSトランジスタの高速化を妨げてしまう。
[第2実施形態]
(第1実施例)
上記問題点を解決するための実施形態を以下に説明する。図8(A)〜(E)は第2実施形態の第1実施例におけるnMOSトランジスタの工程断面図である。
図8(A)に示すように、シリコン基板21上にゲート絶縁膜22、ノンドープのアモルファスシリコンゲートパターン23aを形成する。ゲート長は40nmとした。
次に図8(B)に示すように、シリコン基板21表面に対して斜め方向からポケット不純物の注入を行ない、ポケット領域25を形成する。また必要に応じてエクステンション領域26を形成する。
次に図8(C)において、アモルファスシリコンゲートパターン23aをアニール処理により結晶化させ、多結晶シリコンゲートパターン23pを形成する。アニール条件は適宜調整可能であるが、600℃以上が好ましく、本実施例では窒化雰囲気において650℃、30分のアニールを行なう。
次に図8(D)に示すように、絶縁膜27を全面に堆積させる。
次に図8(E)に示すように、絶縁膜27を異方性エッチングにより除去してサイドウォールスペーサ27sを形成し、ソース/ドレイン不純物のイオン注入を行なう。ここではnMOSを例として説明しているため、PやAsを不純物としてシリコン基板21に注入する。この際、多結晶シリコンゲート23pにも同時に不純物が注入される。
第2実施形態によれば、ポケット不純物注入を行なう工程においてはゲートパターンのシリコン層はアモルファス状態であるので、ポケット領域のゆらぎを抑えることができる。また、ポケット不純物注入を行なった後、ソース/ドレイン及びゲート電極不純物注入工程の前にアモルファスシリコンゲートパターン23aを多結晶シリコン層に変換する工程を設けることにより、ゲートパターンに注入された不純物がゲート絶縁膜直上まで拡散し、ゲート空乏化を防止することができる。
尚、本実施例は図8(C)のアモルファスシリコン結晶化工程と図8(D)の絶縁膜27堆積工程を別工程として説明したが、図8(C)の工程を省略し、絶縁膜27の成膜工程において同時にアモルファスシリコンゲートパターン23aを結晶化させてもよい。例えばCVD法を用い基板温度800℃、原料としてテトラエトキシシラン(Si〔OC254)ガスと酸素(O2)ガスを用いてシリコン酸化膜を成膜する。その成膜温度により、アモルファスシリコンゲートパターン23aを結晶化させる。シリコン酸化膜の代わりにシリコン窒化膜を成膜してもよい。
(第2実施例)
図9(A)〜(E)は、第2実施形態の第2実施例におけるnMOSトランジスタの工程断面図である。図中、第1実施例と同じ符号は同じものを示すものとして、その説明を省略する。
図9(A)に示すように、シリコン基板21上にゲート絶縁膜22、アモルファスシリコンゲートパターン23a、ポケット領域25を形成する。また必要に応じてエクステンション領域26を形成する。
次に図9(B)に示すように、絶縁膜27をシリコン基板11全面に堆積させ、アモルファスシリコンゲートパターン23aを覆う。絶縁膜27は、アモルファスシリコンゲートパターン23aが結晶化しない条件、具体的には基板温度600℃以下、より好ましくは550℃以下で成膜する。本実施例では、CVD法を用いて基板温度500℃、原料ガスにジシラン(Si26)と酸素(O2)を用いシリコン酸化膜を成膜した。シリコン酸化膜以外にも、シリコン窒化膜やシリコン酸化膜とシリコン窒化膜の積層膜等が適用可能である。
次に図9(C)に示すように、アモルファスシリコンゲートパターン23aが絶縁膜27で覆われた状態でアニール処理を行ない、アモルファスシリコンゲートパターン23aを結晶化させ、多結晶シリコンゲートパターン23pを形成する。アニール温度は600℃以上が好ましく、本実施例では窒素雰囲気で650℃、30分間のアニールを行なう。
図9(D)に示すように、異方性エッチングにより多結晶シリコンゲートパターン23p側壁部以外の絶縁膜27を除去し、サイドウォールスペーサ27sを形成する。
図9(E)に示すように、ソース/ドレイン及びゲート電極不純物注入を行なう。
第2実施形態の第2実施例によれば、アモルファスシリコンゲート電極23aを絶縁膜27で覆った状態でアモルファスシリコンゲートパターン23aの結晶化アニールを行なうため、結晶化の際にゲートパターン表面が荒れることを防止することができる。
また後述する第4実施形態のように、アモルファスシリコンゲートパターン23aが結晶化する際に生じるゲートパターンの膨張を利用して、チャネル領域の結晶格子を歪ませnMOSトランジスタを高速化する効果も併せて得ることもできる。この効果については後に詳述する。
(第3実施例)
図10(A)〜(E)は、第2実施形態の第3実施例におけるnMOSトランジスタの工程断面図である。図中、第1又は第2実施例と同じ符号は同じものを示すものとして、その説明を省略する。
図10(A)に示すように、シリコン基板21上にゲート絶縁膜22、アモルファスシリコンゲートパターン23a、ポケット領域25を形成する。また必要に応じてエクステンション領域26を形成する。
図10(B)に示すように、絶縁膜27を全面に堆積させる。絶縁膜27は第2実施例の図9(B)と同様に、アモルファスシリコンゲートパターン23aが結晶化しない条件で成膜する。
次に図10(C)に示すように、絶縁膜27を異方性エッチングすることによりサイドウォールスペーサ27sを形成する。
図10(D)に示すように、例えば窒素雰囲気で650℃、30分間のアニール処理を行なってアモルファスシリコンゲートパターン23aを結晶化させる。
第2実施例と比較すると、絶縁膜27を異方性エッチングしてサイドウォールスペーサ27sを形成する工程と、アニール処理によりアモルファスシリコンゲートパターン23aを結晶化させる工程の順番が入れ替わっている点において相違する。
この第3実施例によれば、結晶化アニール処理の際にアモルファスシリコンゲートパターン23aの上面は絶縁膜27で覆われていないものの、側面はサイドウォールスペーサ27sによって覆われている。そのため、アモルファスシリコンの結晶化に際してゲートパターンの側壁が荒れることを防止することができる。また、この実施例においてもゲートパターンの膨張を利用してチャネル領域の結晶格子を歪ませる効果を得ることができる。
(第4実施例)
図11(A)〜(G)は、第2実施形態の第4実施例におけるnMOSトランジスタの工程断面図である。図中、第1〜第3実施例と同じ符号は同じものを示すものとして、その説明を省略する。
まず図11(A)に示すように、シリコン基板21上にゲート絶縁膜22、アモルファスシリコンゲートパターン23aを形成し、ポケット領域25およびエクステンション領域26を形成する。
次に、図11(B)に示すように、アモルファスシリコンゲートパターン23aを覆うように絶縁膜271を全面に堆積させた後、CMPを用いてアモルファスシリコンゲートパターン23aの上面を露出させる。絶縁膜271は、第2実施例の図9(B)の工程と同様にアモルファスシリコンゲートパターン23aが結晶化しない条件で成膜する。
次に図11(C)に示すように、アモルファスシリコンゲートパターン23aをエッチングにより除去し、絶縁膜271に凹部60を形成する。
次に図11(D)に示すように、凹部60を埋めるようCVD法などを用いて多結晶シリコン層231pを堆積させる。
図11(E)に示すように、CMPを用いて絶縁膜271上の多結晶シリコン層231pを除去する。
次いで図11(F)に示すように、絶縁膜271を除去し、その後、別の絶縁膜を用いてサイドウォールスペーサ27sを形成する。
図11(G)に示すように、ソース/ドレイン及びゲート電極不純物注入を行なう。本実施例によれば、アモルファスシリコンゲートパターン23aをアニール処理により結晶化する工程を用いることなく、多結晶シリコンゲートパターン231pを形成することができる。そのため、結晶化アニールに伴うシリコンゲートパターン表面の荒れの問題を回避し、ポケット領域のゆらぎを抑制し、かつゲート電極の空乏化を防止することができる。
尚、図8〜11はnMOSトランジスタの製造工程について説明したが、本実施形態はpMOSトランジスタの製造工程にも適用可能である。
次に、第2実施形態においてポケット不純物としてInを用いた場合に生じる問題点について説明する。
一般に、シリコン基板にBやP等の不純物を注入した後、不純物活性化アニール(1000〜1050℃の高温で行なわれる)を行なうことによって不純物が活性化される。近年、nMOSトランジスタのポケット不純物としてInが用いられている。Inをポケット不純物として用いると、活性化アニール後も急峻な濃度プロファイルが得られるからである。しかしInは、シリコンに多量の結晶欠陥が含まれる状態で活性化アニールを行なわなければ活性化されないという、他の不純物には見られない特殊な性質を有する。
nMOSトランジスタのポケット不純物としてInをシリコン基板にイオン注入法を用いて注入すると、Inの注入エネルギーによってシリコン基板の結晶性が破壊され、シリコン基板表面にはアモルファス層が形成される。よってこの状態で活性化アニールを行なえば、Inは活性化され得る。
しかし、ポケット不純物の活性化アニールはソース/ドレイン不純物やゲート電極不純物の活性化と一括して行なわれるため、一括活性化アニール工程までシリコン基板表面のアモルファス層を結晶化させない技術が必要となる。
第2実施形態の第1〜第3実施例のように、ポケット注入工程の後アモルファスシリコンゲートパターン23aを結晶化させるために650℃、30分のアニールを行なうと、シリコン基板表面のアモルファス層も同時に結晶化されてしまう。そうすると、その後に活性化アニール行なってもInが十分に活性化されない。
[第3実施形態]
(第1実施例)
上記問題点を解決するための実施形態を以下に説明する。図12(A)〜(F)は、第3実施形態の第1実施例におけるnMOSトランジスタの工程断面図である。
まず図12(A)に示すように、シリコン基板31上にゲート絶縁膜32、ノンドープのアモルファスシリコンゲートパターン33a、ポケット領域35を形成する。また必要に応じてエクステンション領域36を形成する。第3実施形態では特にポケット不純物としてInを用いる。Inをシリコン基板31にイオン注入することによって、シリコン基板31の表面は結晶性が破壊されアモルファス層が形成される。
図12(B)に示すように、絶縁膜371を全面に堆積させ、CMPを用いてアモルファスシリコンゲートパターン33aの上面を露出させる。この絶縁膜371としてはシリコン酸化膜やシリコン窒化膜が適用可能であるが、シリコン基板31表面に形成されたアモルファス層が結晶化しない条件で成膜する。具体的には基板温度600℃以下、より好ましくは550℃以下で成膜する。本実施例ではCVD法を用いて基板温度500℃で原料としてジシラン(Si26)ガスと酸素ガス(O2)を用い、シリコン酸化膜を堆積する。この条件においては、アモルファスシリコンゲートパターン33aも結晶化されない。
図12(C)に示すように、金属触媒としてNiをアモルファスシリコンゲートパターン33aにイオン注入法を用いて注入する。アモルファスシリコンゲートパターン33aに注入されたNiは、金属触媒としてシリコンを低温で固相成長させる働きがあることが知られている。Niの有する触媒作用により、通常のアモルファスシリコン層が結晶化する温度よりも低い温度でアモルファスシリコンゲートパターン33aを結晶化させることができる。Niの金属触媒作用に関しては、例えば文献「The effects of extended heat treatment on Ni induced lateral crystallization of Amorphous silicon thin films;IEEE TRANSACTIONS ON ELECTRON DEVICES, Vol. 46、 NO.1 JANUARY 1999」などに関連する記載がある。シリコン基板31表面は、絶縁膜371で覆われているため、Niは注入されない。金属触媒としてはNi以外にもFeなどが挙げられる。
図12(D)において、アニール処理により、アモルファスシリコンゲートパターン33aを結晶化させる。このアニールはアモルファスシリコンゲートパターン33aが結晶化する温度で、かつシリコン基板31表面に形成されたアモルファス層が結晶化しない温度を選択する。アニール温度は600℃以下、より好ましくは450℃〜550℃が望ましい。ここでは、窒素雰囲気で500℃、30分のアニールを行なった。
図12(B)〜(D)に開示した工程においては、イオン注入技術を用いてアモルファスシリコンゲートパターンの上部表面に金属触媒を導入する方法について説明したが、金属触媒を導入する方法はこの工程に限定されるものではなく、アモルファスシリコンゲートパターン33aに選択的にNi等の金属触媒を導入させる方法であれば採用可能である。例えば図12(B)の工程の後、Ni等の金属触媒をスパッタ法によりアモルファスシリコンゲートパターン33a上に堆積させ、過熱処理によりアモルファスシリコンゲートパターン33a上面からNi等を導入してもよい。
次に図12(E)において、絶縁膜371をエッチングにより除去し、別の絶縁膜を用いてサイドウォールスペーサ37sを形成する。サイドウォールスペーサ37s用の絶縁膜は、シリコン基板31表面のアモルファス層が結晶化しない条件、例えばCVD法を用いて基板温度500℃で原料としてジシラン(Si26)ガスと酸素ガス(O2)を用い、シリコン酸化膜を堆積する。
図12(F)で示すように、シリコン基板31にソース/ドレイン及びゲート電極不純物注入を行ない、次いで不純物活性化アニールを行なってポケット不純物、ソース/ドレイン不純物及びゲート不純物を活性化させる。アニールは拡散炉を用いて行なうこともできるが、非平衡なアニール技術であるランプアニールやレーザーアニールなども適用可能である。例えば窒素雰囲気において1000〜1050℃で数ミリ秒〜約10秒のアニールを行なう。
第3実施形態によれば、ポケット不純物注入工程においてはゲートパターンがアモルファスシリコンであるため、ポケット領域のゆらぎを抑えることができる。また、ソース/ドレイン及びゲート電極不純物の注入工程前にアモルファスシリコンゲートパターンを結晶化するため、ゲートパターンに注入された不純物がゲート絶縁膜の直上まで拡散し、ゲート空乏化を防止することができる。さらにポケット領域のアモルファスシリコン層を結晶化させない条件でゲートパターン部のみ選択的に結晶化させることにより、不純物の活性化アニール工程においてポケット不純物のInを活性化させることができる。
尚、本実施例では図12(D)の工程で金属触媒を導入したが、図12(A)の工程において、アモルファスシリコン層を加工してゲートパターンを形成する前に、アモルファスシリコン層表面の全面に金属不純物を導入してもよい。
(第2実施例)
図13(A)〜(F)は、第3実施形態の第2実施例におけるnMOSトランジスタの工程断面図である。図中、第1実施例と同じ符号は同じものを示すものとして、その説明を省略する。
まず図13(A)に示すように、シリコン基板31上にゲート絶縁膜32、アモルファスシリコンゲートパターン33a、ポケット領域35を形成する。また必要に応じてエクステンション領域36を形成する。
図13(B)に示すように、絶縁膜からなるサイドウォールスペーサ37sを形成する。サイドウォールスペーサ37s用の絶縁膜は、第1実施例の図12(B)と同様にシリコン基板31上に形成されたアモルファスシリコン層が結晶化しない条件で成膜する。
次いで図13(C)に示すように、アモルファスシリコンが結晶化しない条件で、サイドウォールスペーサ37sとエッチング特性の異なる絶縁膜371を全面に堆積させ、CMPを用いてアモルファスシリコンゲートパターン33aの上面を露出させる。
図13(D)に示すように、金属触媒としてNiをアモルファスシリコンゲートパターン33aにイオン注入法を用いて注入する。
図13(E)に示すように、アニールを行なってアモルファスシリコンゲートパターン33aを結晶化させる。アニールは、第1実施例の図12(D)と同様にシリコン基板31表面に形成されたアモルファス層が結晶化しない温度を選択する。
次に図13(F)に示すように、絶縁膜371をエッチングにより除去し、ソース/ドレイン及びゲート電極不純物注入を行なう。次いで不純物活性化アニールを行なってポケット不純物、ソース/ドレイン不純物及びゲート不純物を活性化させる。
本実施例において、サイドウォールスペーサ37sはアモルファスシリコンゲートパターン33aの結晶化工程の前に形成され、結晶化工程の後も除去されない。これらの工程により後述する第4実施形態の効果も得ることができる。
第2、第3実施形態において、アモルファスシリコンゲートパターンをアニール処理して結晶化させる工程を開示した。本願発明者はアモルファスシリコンゲートパターンがアニール処理によって結晶化する際に膨張する点に着目し、この膨張を利用してチャネル領域の結晶格子を歪ませることができないかを鋭意検討した。
シリコン結晶を歪ませると電子の移動度が変化することは従来から知られており、半導体基板上に形成されるMOSトランジスタの動作速度を高める手段として研究がなされている。
例えば特開平11−340337号公報では、MOSトランジスタを形成するシリコン基板の下地膜としてシリコンより格子定数の大きなシリコンゲルマニウムを用い、その上にシリコン層をエピタキシャル成長させることにより、チャネル部分となるシリコンに歪みを与えて移動度を高め、トランジスタの高速化を図るという方法を開示している。
しかしながら、結晶の格子定数の異なる材料を格子整合させるようにエピタキシャル成長させると、結晶に生じる歪みのエネルギーが大きくなり、ある臨界膜厚以上の膜厚では結晶に転位が発生するといった問題が生じる。
一方、本発明者は、アモルファスシリコンゲートパターンの結晶化工程における膨張を、どのようして利用してシリコン基板に応力を加えるのか、また応力によって生じたシリコン結晶格子の歪みをどのようにして維持するのかを検討した。
アモルファスシリコンゲートパターンが結晶化により膨張しても、ゲートパターンが何らかの膜で覆われていなければゲートパターンは上方に自由に拡張し、シリコン基板を下向きに抑える応力を発生させることができない。
また、ゲートパターンの膨張によってシリコン基板に圧縮応力が加わったとしても、ゲートパターン両脇のシリコン基板表面から応力が開放されてしまい、シリコン結晶を歪ませることはできない。図14は、ゲートパターンからシリコン基板に加わる応力の様子を示す断面図である。矢印の方向に沿って、ゲートパターン両脇のシリコン基板表面から応力が開放される。
[第4実施形態]
(第1実施例)
上記課題を解決するための実施形態を以下に説明する。図15(A)〜(E)、第4実施形態の第1実施例におけるnMOSトランジスタの工程断面図である。
図15(A)に示すように、シリコン基板41上にゲート絶縁膜42、アモルファスシリコンゲートパターン43aを形成する。必要に応じてポケット領域45やエクステンション領域46を形成する。
図15(B)に示すように、シリコン基板41全面に絶縁膜47を堆積させ、アモルファスシリコンゲートパターン43aを覆う。例えばシリコン酸化膜やシリコン窒素化膜が適用可能である。この絶縁膜47はアモルファスシリコンゲートパターン43aが結晶化しない条件で成膜される。例えばCVD法により基板温度500℃で原料としてジシラン(Si26)ガスと酸素(O2)ガスを用い、シリコン酸化膜を5〜120nm程度成膜する。
次に図15(C)に示すように、アモルファスシリコンゲートパターン43aが絶縁膜47で覆われた状態でアニール処理を行ない、アモルファスシリコンゲートパターン43aを結晶化させる。例えば窒素雰囲気中で550℃とし、この温度ではアモルファスシリコンの結晶化速度が非常に遅いため、5〜12時間の長時間のアニール処理を行なう。このアニール条件は、アモルファスシリコンゲートパターン43aが結晶化によって膨張し、かつシリコン基板41中の不純物が拡散しない条件として設定したものである。
図15(D)に示すように、絶縁膜47を異方性エッチングすることによりサイドウォールスペーサ47sをゲートパターン側壁に形成する。
図15(E)に示すように、ソース/ドレイン及びゲート電極不純物注入を行ないnMOSトランジスタを形成する。
第4実施形態の第1実施例では、アモルファスシリコンゲートパターン43aが絶縁膜47で覆われた状態でアニールによる結晶化を行なうことにより、ゲートパターンが上方に広がることを防止し、その結果としてゲートパターン下のチャネル領域に圧縮応力を加えることができる。また、ゲートパターンが形成されている領域以外の部分、例えばゲートパターンの両脇部分も絶縁膜で覆われているため、ゲートパターン下のシリコン基板41に加わった応力が、ゲートパターンの両脇を通ってシリコン基板41表面から上方へ開放されることがない。さらに、アモルファスシリコンゲートパターン43aの結晶化工程においてゲートパターンを覆っていた絶縁膜47の一部を、ゲートパターンのサイドウォールスペーサ47sとして残存させるため、チャネル領域に印加される応力は開放されることなく、シリコン結晶格子を歪ませた状態に維持することができる。
尚、サイドウォールスペーサ47sの厚さによって保持し得る応力の大きさも変わるため、適宜調整してもよい。
また、絶縁膜47はシリコン酸化膜やシリコン窒化膜、シリコン酸化膜とシリコン窒化膜の積層構造などを適用することも可能である。
尚、この第4実施形態は、第2実施形態の第2実施例、第3実施例、及び第3実施形態の第2実施例の、アモルファスシリコンゲートパターンをアニールにより結晶化する工程に適用することもできる。この場合、第2実施形態及び第3実施形態でそれぞれ得られる効果に加えて、ゲートパターン結晶化によってチャネル領域のシリコン結晶を歪ませることができ、MOSトランジスタの高速化を図ることができる。
(第2実施例)
図16(A)〜(E)は、第4実施形態の第2実施例におけるnMOSトランジスタの工程断面図である。図中、第1実施例と同じ符号は同じものを示すものとして、その説明を省略する。
図16(A)において、シリコン基板41上にゲート絶縁膜42、アモルファスシリコンゲートパターン43aを形成する。必要に応じてポケット領域45やエクステンション領域46を形成する。
図16(B)において、図15(B)と同様にアモルファスシリコンゲートパターン43aが結晶化しない条件でシリコン基板41全面に絶縁膜47を堆積させ、アモルファスシリコンゲートパターン43aを覆う。
図16(C)において、絶縁膜47を異方性エッチングすることによりサイドウォールスペーサ47sをゲートパターン側壁部に形成する。
次に図16(D)において、アニール処理を行ないアモルファスシリコンゲートパターン43aを結晶化させる。
図16(E)において、ソース/ドレイン及びゲート電極不純物注入を行ないnMOSトランジスタを形成する。
第1実施例では、絶縁膜47がアモルファスシリコンゲートパターン43aの全面を覆った状態で、結晶化アニールを行なう例を説明したが、第2実施例では絶縁膜47を異方性エッチングしてサイドウォールスペーサ47sを形成した後に、アモルファスシリコンゲートパターン43aの結晶化アニールを行なう点が相違する。
この第2実施例においても、アモルファスシリコンゲートパターン43aの側壁にサイドウォールスペーサ47sが形成された状態でゲートパターン結晶化アニールが行なわれるため、ゲートパターンの膨張を利用してチャネル領域に圧縮応力を加えることができる。また、圧縮応力によるシリコン結晶格子の歪みをサイドウォールスペーサ47sにより維持することができる。
(第3実施例)
nMOSトランジスタはチャネル領域のシリコン結晶格子を縦方向に圧縮するよう応力を加えることにより高速化されるが、pMOSトランジスタは縦方向に引っ張り応力を加えることによって高速化される。
そのため、前記第4実施形態の第1、第2実施例に記載した方法でCMOSトランジスタを形成すると、nMOSトランジスタの特性は向上するものの、一方のpMOSトランジスタは特性が劣化することになる。nMOSトランジスタには結晶歪みを発生させつつ、pMOSトランジスタには歪みを極力発生させない手段が要求される。
図17(A)〜(E)は、第4実施形態の第3実施例におけるCMOSトランジスタの工程断面図である。図中、第1又は第2実施例と同じ符号は同じものを示すものとして、その説明を省略する。
まず図17(A)において、素子分離領域44で分離された活性領域にゲート絶縁膜42、アモルファスシリコンゲートパターン43aを形成し、必要に応じてポケット領域45、エクステンション領域26を形成する。
図17(B)に示すように、アモルファスシリコンゲートパターン43aを覆うように絶縁膜47を堆積させる。絶縁膜47としてはシリコン酸化膜やシリコン窒化膜が適用可能である。絶縁膜47はアモルファスシリコンゲートパターン43aが結晶化しない条件で成膜する。
図17(C)に示すように、レジスト膜50を用いてpMOS領域のみ開口し、pMOS領域を覆う絶縁膜47に例えばGe注入を行ない、疎な膜質の絶縁膜471を形成する。例えばGeをドーズ量3×1014/cm2〜3×1015/cm2で注入する。
図17(D)に示すように、レジスト50を除去してからアモルファスシリコンゲートパターン43aの結晶化アニールを行なう。具体的には窒素雰囲気で550℃、5〜12時間のアニールを行なう。
図17(E)に示すように、絶縁膜47、471を異方性エッチングすることによってサイドウォールスペーサ47s、471sを形成する。その後、nMOS領域及びpMOS領域のそれぞれにソース/ドレイン領域48、481を形成し、CMOS構造を完成させる。
本実施例は、アモルファスシリコンゲートパターン43aを結晶化する工程においてpMOS領域を疎な膜質の絶縁膜471で覆っているため、pMOSトランジスタのチャネル領域に加えられる応力は、nMOSトランジスタのチャネル領域に加えられる応力よりも、サイドウォールスペーサ領域を介して開放され易い。その結果、pMOSトランジスタのチャネル領域のシリコン結晶格子歪みは小さく抑えられ、pMOSトランジスタの特性を維持することができる。
(第4実施例)
図18(A)〜(G)は、第4実施形態の第4実施例におけるCMOSトランジスタの工程断面図である。図中、第1〜第3実施例と同じ符号は同じものを示すものとして、その説明を省略する。
まず図18(A)において、素子分離領域44で分離された活性領域にゲート絶縁膜42、アモルファスシリコンゲートパターン43aを形成し、必要に応じてポケット領域45、エクステンション領域46を形成する。さらにアモルファスシリコンゲートパターン43aを覆うように絶縁膜47を堆積させる。
図18(B)に示すように、レジスト膜50でnMOS領域を覆った後、pMOS上の絶縁膜47を除去する。
図18(C)に示すように、絶縁膜47よりも疎な膜質の絶縁膜472を全面に堆積させる。絶縁膜472としてはシリコン酸化膜やシリコン窒化膜などが適用可能であるが、例えばCVD法にて、原料ガスにビスターシャルブチルアミノシラン(SiH2〔NH−C492)を用い基板温度540℃でシリコン酸化膜を形成する。
図18(D)に示すように、pMOS領域をレジスト膜51で覆い、絶縁膜47上の絶縁膜472をエッチングにより除去する。
図18(E)に示すように、アモルファスシリコンゲートパターン43aをアニールにより結晶化させる
図18(F)に示すように、異方性エッチングにより絶縁膜47及び472を除去し、サイドウォールスペーサ47s及び472sを形成する。
最後に図18(G)に示すように、nMOS領域及びpMOS領域のそれぞれにソース/ドレイン領域を形成し、CMOS構造を完成させる。
本実施例においては、pMOS領域を覆う絶縁膜47を除去し、代わりに絶縁膜47よりもチャネル領域に加わる応力を開放させ易い、例えば疎な膜質を有する絶縁膜472でpMOS領域を覆っている。そのため、pMOSトランジスタのチャネル領域に加わる圧縮応力はnMOSトランジスタのそれに比べて小さく、歪み量を抑えることができる。
第1実施形態〜第4実施形態において、半導体基板としてシリコン基板を例に挙げて説明したが、シリコン以外の半導体基板、例えばシリコンゲルマニウム基板やゲルマニウム基板にも適用可能である。
以下、本発明の緒態様を付記としてまとめて記載する。
(付記1)半導体基板上にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にアモルファスシリコン層を堆積する工程と、
前記アモルファスシリコン層を加工して、MOSトランジスタのゲートパターンを形成する工程と、
前記半導体基板表面に対して斜め方向から、前記ゲートパターンの前記アモルファスシリコン層をマスクとして、前記MOSトランジスタのチャネル領域と同一導電型の第1の不純物注入を行なう工程とを有することを特徴とする半導体装置の製造方法。
(付記2)前記半導体基板表面に対して斜め方向から行なう不純物注入は、前記半導体基板基板表面に垂直な方向を0°として7°〜45°で行なうことを特徴とする付記1記載の半導体装置の製造方法。
(付記3)半導体基板上にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にアモルファスシリコン層を堆積する工程と、
前記アモルファスシリコン層を加工して、MOSトランジスタのゲートパターンを形成する工程と、
前記半導体基板表面に対して斜め方向から、前記ゲートパターンの前記アモルファスシリコン層をマスクとして、前記MOSトランジスタのチャネル領域と反対導電型の第2の不純物注入をドーズ量1×1014/cm2〜3×1015/cm2で行なう工程とを有することを特徴とする半導体装置の製造方法。
(付記4)前記アモルファスシリコン層は不純物がドープされていないアモルファスシリコン層であり、前記半導体基板表面に対して斜め方向から前記不純物注入を行なう工程の後、さらに前記ゲートパターンのアモルファスシリコン層を多結晶シリコン層に変換する工程と、
前記多結晶シリコン層に第2の不純物注入を行なう工程とを有することを特徴とする付記1乃至3いずれか一項に記載の半導体装置の製造方法。
(付記5)前記アモルファスシリコン層を前記多結晶シリコン層に変換する工程は、前記アモルファスシリコン層をアニール処理することによって結晶化させることを特徴とする付記4記載の半導体装置の製造方法。
(付記6)前記アモルファスシリコン層を前記多結晶シリコン層に変換する工程は、前記アモルファスシリコン層を除去し、前記多結晶シリコン層を堆積させることによって行なわれることを特徴とする付記4記載の半導体装置の製造方法。
(付記7)前記アモルファスシリコン層を多結晶シリコン層に変換する工程は、
前記ゲートパターンのアモルファスシリコン層に金属触媒を導入する工程と、
前記金属触媒が導入された前記アモルファスシリコン層を前記アニール処理する工程からなることを特徴とする付記4又は5記載の半導体装置の製造方法。
(付記8)前記半導体基板表面に対して斜め方向から前記第1の不純物注入を行なう工程において、前記不純物が注入された前記半導体基板表面にアモルファス層が形成されることを特徴とする付記1乃至7いずれか一項に記載の半導体装置の製造方法。
(付記9)
前記アモルファスシリコン層を前記アニール処理する工程は、前記半導体基板表面に形成された前記アモルファス層が残存する温度で行なわれることを特徴とする付記8記載の半導体装置の製造方法。
(付記10)前記半導体基板表面に形成された前記アモルファス層が結晶化しない温度での前記アニール処理は、450℃〜550℃で行なわれることを特徴とする付記9記載の半導体装置の製造方法。
(付記11)前記金属触媒はNi又はFeであることを特徴とする付記7記載の半導体装置の製造方法。
(付記12)半導体基板上にゲート絶縁膜層を形成する工程と、
前記ゲート絶縁膜上にアモルファスシリコン層を堆積する工程と、
前記アモルファスシリコン層を加工して、MOSトランジスタのゲートパターンを形成する工程と、
前記ゲートパターンの前記アモルファスシリコン層を覆うように絶縁膜を堆積する工程と、
前記ゲートパターンの前記アモルファスシリコン層をアニール処理により結晶化させる工程と、
前記絶縁膜を異方性エッチングすることにより前記ゲートパターンの側壁にサイドウォールスペーサを形成する工程とを有することを特徴とする半導体装置の製造方法。
(付記13)前記ゲートパターンの前記アモルファスシリコン層を前記アニール処理により結晶化させる工程の後に、前記絶縁膜を異方性エッチングすることにより前記ゲートパターンの側壁に前記サイドウォールスペーサを形成する工程が行なわれることを特徴とする付記12記載の半導体装置の製造方法。
(付記14)付記12において、前記絶縁膜を異方性エッチングすることにより前記ゲートパターンの側壁にサイドウォールスペーサを形成する工程の後に、前記ゲートパターンの前記アモルファスシリコン層を前記アニール処理により結晶化させる工程が行なわれることを特徴とする半導体装置の製造方法。
従来技術に基づいて作成したMOSトランジスタの閾値電圧値(以下Vth)とゲート長の関係を示すグラフである。 従来技術に基づいて作成したMOSトランジスタがオフ状態でのゲートリーク電流及びオーバーラップ容量を示すグラフである。 従来技術に基づいて作成したMOSトランジスタのポケット領域について説明する図面である。 第1実施形態におけるnMOSトランジスタの工程断面図である。 本実施形態に基づいて作成したMOSトランジスタのVthとゲート長の関係を従来特性と比較して示すグラフである。 本実施形態に基づいて作成したMOSトランジスタのゲートリーク電流及びオーバーラップ容量を、従来特性と比較して示すグラフである。 第1実施形態において、さらにゲートパターンのシリサイド化工程を示す断面図である。 第2実施形態の第1実施例におけるnMOSトランジスタの工程断面図である。 第2実施形態の第2実施例におけるnMOSトランジスタの工程断面図である。 第2実施形態の第3実施例におけるnMOSトランジスタの工程断面図である。 第2実施形態の第4実施例におけるnMOSトランジスタの工程断面図である。 第3実施形態の第1実施例におけるnMOSトランジスタの工程断面図である。 第3実施形態の第2実施例におけるnMOSトランジスタの工程断面図である。 ゲートパターンからシリコン基板加わった圧縮応力がゲートパターン両脇のシリコン基板表面から応力が開放される様子を示す断面図である。 第4実施形態の第1実施例におけるnMOSトランジスタの工程断面図である。 第4実施形態の第2実施例におけるnMOSトランジスタの工程断面図である。 第4実施形態の第3実施例におけるCMOSトランジスタの工程断面図である。 第4実施形態の第4実施例におけるCMOSトランジスタの工程断面図である。
1、11、21、31、41 シリコン基板
2、12、22、32、42 ゲート絶縁膜
3a、13a、23a、33a、43a アモルファスシリコンゲートパターン
3p、13p、23p、231p、33p、43p 多結晶シリコンゲートパターン
132 シリサイドゲートパターン
4、14、24、34、44 素子分離領域
15、25、35、45 ポケット領域
16、26、36、46 エクステンション領域
17、173、27、271、371、47、471、472 絶縁膜
18、28、38、48、481 ソース/ドレイン領域
17s、27s、37s、47s、471s サイドウォールスペーサ
50、51 レジスト
60 凹部
100 メタル層

Claims (8)

  1. 半導体基板上にゲート絶縁膜を形成する工程と、
    前記ゲート絶縁膜上にアモルファスシリコン層を堆積する工程と、前記アモルファスシリコン層を加工して、MOSトランジスタのゲートパターンを形成する工程と、
    前記半導体基板表面に対して斜め方向から、前記ゲートパターンの前記アモルファスシリコン層をマスクとして、前記MOSトランジスタのチャネル領域と同一導電型の第1の不純物注入を行なう工程と
    前記第1の不純物注入を行なう工程の後、前記ゲートパターンの前記アモルファスシリコン層を熱処理で加熱して多結晶シリコン層に変換する工程と、
    前記多結晶シリコン層に変換する工程の後、前記ゲートパターンの側壁にサイドウォールスペーサを形成する工程と、
    前記多結晶シリコンに第2の不純物注入を行なう工程と、
    を有することを特徴とする半導体装置の製造方法。
  2. 前記半導体基板表面に対して斜め方向から行なう前記第1の不純物注入は、前記半導体基板表面に垂直な方向を0°として7°〜45°で行なうことを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記サイドウォールスペーサを形成する工程は、第2の不純物注入を行なう工程の前に行われることを特徴とする請求項1に記載の半導体装置の製造方法。
  4. 前記アモルファスシリコン層は不純物がドープされていないアモルファスシリコン層であることを特徴とする請求項1乃至3いずれか項に記載の半導体装置の製造方法。
  5. 前記ゲートパターンの前記アモルファスシリコン層を前記多結晶シリコン層に変換する工程は、
    前記ゲートパターンの前記アモルファスシリコン層に金属触媒を導入する工程と、
    前記金属触媒が導入された前記アモルファスシリコン層をアニール処理する工程とを含むことを特徴とする請求項4記載の半導体装置の製造方法。
  6. 前記半導体基板表面に対して斜め方向から前記第1の不純物注入を行なう工程において、前記不純物が注入された前記半導体基板にアモルファス層が形成されることを特徴とする請求項に記載の半導体装置の製造方法。
  7. 前記アモルファスシリコン層を前記アニール処理する工程は、前記半導体基板に形成された前記アモルファス層が残存する温度で行なわれることを特徴とする請求項6記載の半導体装置の製造方法。
  8. 前記多結晶シリコン層に変換する工程は、
    前記ゲートパターンの前記アモルファスシリコン層を覆うように絶縁膜を堆積する工程と、
    前記ゲートパターンの前記アモルファスシリコン層をアニール処理により結晶化させる工程と、を有し、
    前記サイドウォールスペーサを形成する工程は、
    前記絶縁膜をエッチングする工程を有することを特徴とする請求項1に記載の半導体装置の製造方法。
JP2006165225A 2006-06-14 2006-06-14 半導体装置の製造方法 Expired - Fee Related JP5034332B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006165225A JP5034332B2 (ja) 2006-06-14 2006-06-14 半導体装置の製造方法
US11/760,907 US20080009111A1 (en) 2006-06-14 2007-06-11 Manufacturing method of semiconductor device
US12/364,211 US8546247B2 (en) 2006-06-14 2009-02-02 Manufacturing method of semiconductor device with amorphous silicon layer formation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006165225A JP5034332B2 (ja) 2006-06-14 2006-06-14 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2007335606A JP2007335606A (ja) 2007-12-27
JP5034332B2 true JP5034332B2 (ja) 2012-09-26

Family

ID=38919573

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006165225A Expired - Fee Related JP5034332B2 (ja) 2006-06-14 2006-06-14 半導体装置の製造方法

Country Status (2)

Country Link
US (2) US20080009111A1 (ja)
JP (1) JP5034332B2 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009295820A (ja) * 2008-06-05 2009-12-17 Panasonic Corp 半導体装置及びその製造方法
JP2012099510A (ja) * 2009-03-09 2012-05-24 Toshiba Corp 半導体装置およびその製造方法
EP3434318B1 (en) * 2014-10-31 2021-05-12 Avent, Inc. System for monitoring and treating a medical condition via posterior tibial nerve stimulation
US20220367187A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Manufacture

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4479831A (en) * 1980-09-15 1984-10-30 Burroughs Corporation Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment
US5053848A (en) * 1988-12-16 1991-10-01 Texas Instruments Incorporated Apparatus for providing single event upset resistance for semiconductor devices
US6000947A (en) * 1994-04-12 1999-12-14 The Board Of Trustees Of The Leland Stanford, Jr. Method of fabricating transistor or other electronic device using scanning probe microscope
US5618760A (en) * 1994-04-12 1997-04-08 The Board Of Trustees Of The Leland Stanford, Jr. University Method of etching a pattern on a substrate using a scanning probe microscope
US5652156A (en) * 1995-04-10 1997-07-29 Taiwan Semiconductor Manufacturing Company Ltd. Layered polysilicon deposition method
JPH09134973A (ja) * 1995-11-07 1997-05-20 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH09320990A (ja) * 1996-03-25 1997-12-12 Sharp Corp 半導体装置の製造方法
JPH1098187A (ja) * 1996-09-20 1998-04-14 Nec Corp 半導体装置の製造方法
US5893721A (en) * 1997-03-24 1999-04-13 Motorola, Inc. Method of manufacture of active matrix LED array
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US5972761A (en) * 1997-12-29 1999-10-26 Texas Instruments - Acer Incorporated Method of making MOS transistors with a gate-side air-gap structure and an extension ultra-shallow S/D junction
JP2000031475A (ja) * 1998-07-10 2000-01-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6100204A (en) * 1998-07-28 2000-08-08 Advanced Micro Devices, Inc. Method of making ultra thin gate oxide using aluminum oxide
US6103582A (en) * 1998-08-13 2000-08-15 Industrial Technology Research Institute Method to suppress boron penetration in P+ mosfets
US6162716A (en) * 1999-03-26 2000-12-19 Taiwan Semiconductor Manufacturing Company Amorphous silicon gate with mismatched grain-boundary microstructure
JP2000357666A (ja) * 1999-04-15 2000-12-26 Sharp Corp 半導体装置及びその製造方法
JP3307362B2 (ja) * 1999-04-30 2002-07-24 日本電気株式会社 半導体装置の製造方法
US6294442B1 (en) * 1999-12-10 2001-09-25 National Semiconductor Corporation Method for the formation of a polysilicon layer with a controlled, small silicon grain size during semiconductor device fabrication
KR100345365B1 (ko) * 1999-12-30 2002-07-26 주식회사 하이닉스반도체 반도체소자의 트랜지스터 형성방법
JP3919462B2 (ja) * 2000-06-20 2007-05-23 松下電器産業株式会社 半導体装置及びその製造方法
US6720632B2 (en) 2000-06-20 2004-04-13 Matsushita Electric Industrial Co., Ltd. Semiconductor device having diffusion layer formed using dopant of large mass number
US6365476B1 (en) * 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6432763B1 (en) * 2001-03-15 2002-08-13 Advanced Micro Devices, Inc. Field effect transistor having doped gate with prevention of contamination from the gate during implantation
JP4353352B2 (ja) * 2001-05-15 2009-10-28 シャープ株式会社 半導体装置及びその製造方法
JP3980461B2 (ja) * 2001-11-26 2007-09-26 富士通株式会社 半導体装置の製造方法
US6632729B1 (en) * 2002-06-07 2003-10-14 Advanced Micro Devices, Inc. Laser thermal annealing of high-k gate oxide layers
JP4236992B2 (ja) 2002-06-24 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20040087094A1 (en) * 2002-10-30 2004-05-06 Advanced Micro Devices, Inc. Semiconductor component and method of manufacture
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
EP1434264A3 (en) * 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
JP2004356431A (ja) 2003-05-29 2004-12-16 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JPWO2004107450A1 (ja) * 2003-05-30 2006-07-20 富士通株式会社 半導体装置と半導体装置の製造方法
JP2005064315A (ja) * 2003-08-18 2005-03-10 Fujitsu Ltd 半導体装置および半導体装置の製造方法
US6916694B2 (en) * 2003-08-28 2005-07-12 International Business Machines Corporation Strained silicon-channel MOSFET using a damascene gate process
US6884672B1 (en) * 2003-11-04 2005-04-26 International Business Machines Corporation Method for forming an electronic device
US20050136583A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Advanced strained-channel technique to improve CMOS performance
JP3872071B2 (ja) * 2004-05-19 2007-01-24 エルピーダメモリ株式会社 半導体装置及びその製造方法
US7105889B2 (en) * 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
KR100611659B1 (ko) * 2004-07-07 2006-08-10 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조 방법
TW200625421A (en) * 2004-09-29 2006-07-16 Koninkl Philips Electronics Nv Reduction of sheet resistance of phosphorus implanted polysilicon
US20070141775A1 (en) * 2005-12-15 2007-06-21 Chartered Semiconductor Manufacturing, Ltd. Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US7396717B2 (en) * 2006-04-03 2008-07-08 United Microelectronics Corp. Method of forming a MOS transistor

Also Published As

Publication number Publication date
US8546247B2 (en) 2013-10-01
US20090227085A1 (en) 2009-09-10
US20080009111A1 (en) 2008-01-10
JP2007335606A (ja) 2007-12-27

Similar Documents

Publication Publication Date Title
US6475888B1 (en) Method for forming ultra-shallow junctions using laser annealing
JP2978736B2 (ja) 半導体装置の製造方法
US8466503B2 (en) Semiconductor transistors with expanded top portions of gates
US7432180B2 (en) Method of fabricating a nickel silicide layer by conducting a thermal annealing process in a silane gas
JP5195747B2 (ja) 半導体装置の製造方法
US20050054164A1 (en) Strained silicon MOSFETs having reduced diffusion of n-type dopants
JP2000077658A (ja) 半導体装置の製造方法
US8551849B2 (en) Semiconductor device and method of manufacturing the same
JP2008283182A (ja) Pmosトランジスタ製造方法及びcmosトランジスタ製造方法
US20090065807A1 (en) Semiconductor device and fabrication method for the same
US20090032881A1 (en) Semiconductor devices and methods of fabricating the same in which a mobility change of the major carrier is induced through stress applied to the channel
JP5034332B2 (ja) 半導体装置の製造方法
JP4822852B2 (ja) 半導体装置の製造方法
JP3518122B2 (ja) 半導体装置の製造方法
JP4951950B2 (ja) 半導体装置およびその製造方法
JP2004165470A (ja) 半導体装置及びその製造方法
JPH0917998A (ja) Mosトランジスタの製造方法
JP3518059B2 (ja) Mis型トランジスタの製造方法
JP3394083B2 (ja) 半導体装置及びその製造方法
JP2000058822A (ja) 半導体装置の製造方法
JP4950599B2 (ja) 半導体装置の製造方法
JP3744895B2 (ja) Cmos型半導体装置の製造方法
US6545328B1 (en) Semiconductor device
JPH11238871A (ja) 半導体装置
JP2008085306A (ja) 半導体装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120308

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120605

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120618

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150713

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5034332

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees