US20070141775A1 - Modulation of stress in stress film through ion implantation and its application in stress memorization technique - Google Patents

Modulation of stress in stress film through ion implantation and its application in stress memorization technique Download PDF

Info

Publication number
US20070141775A1
US20070141775A1 US11/304,412 US30441205A US2007141775A1 US 20070141775 A1 US20070141775 A1 US 20070141775A1 US 30441205 A US30441205 A US 30441205A US 2007141775 A1 US2007141775 A1 US 2007141775A1
Authority
US
United States
Prior art keywords
stress
stress layer
ions
layer
anneal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/304,412
Inventor
Lee Teo
Elgin Quek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Priority to US11/304,412 priority Critical patent/US20070141775A1/en
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING, LTD. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: QUEK, ELGIN, TEO, LEE WEE
Priority to SG200607222-7A priority patent/SG133478A1/en
Publication of US20070141775A1 publication Critical patent/US20070141775A1/en
Priority to US11/940,326 priority patent/US7592270B2/en
Priority to US12/510,276 priority patent/US8119541B2/en
Priority to US13/236,627 priority patent/US8153537B1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Definitions

  • This invention relates generally to fabrication of semiconductor devices and more particularly to a method to change the stress in a stress layer over a FET device.
  • U.S. Pat. No. 6,939,814 and US20050093078A1 Inventor: Chan, Victor;—Increasing carrier mobility in NFET and PFET transistors on a common wafer—Adjusting a carrier mobility for different semiconductor conductivities on the same chip by forming layer of material applying stress level on surface of chip, and selectively reducing the stress level of the portion of layer of material.
  • US20040075148A1 Semiconductor device—the transistors each comprise an insulated film wrapping a gate electrode and extending to a location adjacent to a source/drain area, and the insulated film is mainly composed of silicon nitride, and the thickness of the insulated film of the n-channel field effect transistor differs from the thickness of the insulated film of the p-channel field effect transistor.
  • the transistors each comprise an insulated film wrapping a gate electrode and extending to a location adjacent to a source/drain area, and the insulated film is mainly composed of silicon nitride, and the thickness of the insulated film of the n-channel field effect transistor differs from the thickness of the insulated film of the p-channel field effect transistor.
  • US20050093081A1 OXIDATION METHOD FOR ALTERING A FILM STRUCTURE AND CMOS TRANSISTOR STRUCTURE FORMED THEREWITH—Relaxing a tensile or compressive stress present in a film contacting a base layer by oxidizing the film to reduce a magnitude of the stress by supplying atomic oxygen to surface of the film—Inventor: Belyansky, Michael P.; Bethel, C T, US20050158937A1: METHOD AND STRUCTURE FOR CONTROLLING STRESS IN A TRANSISTOR CHANNEL—Manufacture of semiconductor device including n-type and p-type transistors comprises adjusting shallow trench isolation oxide corresponding to n-type and/or p-type devices and forming strain layer over semiconductor substrate. Inventor: Yang, Haining S
  • Some of the example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region.
  • An example embodiment for a NMOS transistor is to form a tensile stress layer over the NMOS transistor. A ion implant is performed into the stress layer and then an anneal is performed. This increases the amount of stress from the stress layer that the gate retains/memorizes.
  • FIGS. 1 through 5 A and 5 B are cross sectional views for illustrating a method for manufacturing a semiconductor device according to an example embodiment of the present invention.
  • FIGS. 6 and 7 shows Si Data for the example embodiment vs a method using only steps of: SiN stress layer and anneal (no Ge I/I).
  • Some example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region.
  • An example embodiment for a NMOS Transistor is to form a tensile stress layer (e.g., SiN) over a NMOS transistor.
  • the Si containing gate retains/memorizes a higher tensile stress from the SiN layer and the gate transfers this stress to the NMOS Tx channel.
  • the Si in NMOS channel is under increased tensile stress that increase the electron mobility that increase device performance.
  • Examples of two key steps in the modulation of the stress of the stress (e.g., nitride) film are as follows.
  • the example embodiments of the present invention will be described in detail with reference to the accompanying drawings.
  • the example embodiments provide a method of forming a FET using a stress layer and a heavy ion implant that increases stress layer stress.
  • NMOS transistor 22 in a NMOS region 14 and a PMOS transistor 20 in a PMOS region 18 over substrate 10 .
  • the NMOS transistor 22 can be comprised of a gate dielectric 26 , a gate electrode 32 , and LDD (lightly doped source/drain or SDE ) regions (not shown); source and drain region 36 in the substrate adjacent to the gate electrode; and sidewall spacers 42 .
  • LDD lightly doped source/drain or SDE
  • the NMOS gate electrode 32 can be comprised of silicon, polysilicon or polySiGe or Ge; and is preferably comprised of polysilicon.
  • the PMOS transistor 20 can be comprised of a gate dielectric 24 , a gate electrode 28 , and LDD (lightly doped source/drain or SDE ) regions (not shown; source and drain regions 34 in the substrate adjacent to the gate electrode 28 and sidewall spacers 38 .
  • the PMOS gate electrode 32 can be comprised of silicon, polysilicon or polySiGe or Ge.
  • At least portions of the gate that will have the stress film and anneal process should be comprised of amorphous silicon prior to the formation of the stress film. This can be accomplished by an ion implant into the gate. For example, a separate gate amorphorizing implant can be performed to implant ions into the gate. Likewise, if a if a Source/Drain pre amorphization implant (PAI) is performed,
  • PAI Source/Drain pre amorphization implant
  • the dielectric layer can be comprised of oxide layer 44 and is preferably comprised of oxide.
  • the dielectric layer can be comprised of a low temperature oxide layer (LTO).
  • the dielectric layer serves a reducing the defects when nitride layer is deposited on Si surface. It also serve as etch stop for nitride removal. It can be an optional layer if etch process is well tuned.
  • the stress layer has tensile stress.
  • the tensile stress layer 44 puts a tensile stress on the underlying channel of the MOS Tx.
  • the stress layer 46 can have a tensile stress of between 0.3 GPa and 1.2 Gpa.
  • ions using a high dose/low energy implant process into the stress film.
  • the heavy ion (or large ions) ions 50 are implanted into the stress layer 46 and preferably not below the stress layer.
  • the majority of ions stop in the stress layer 46 and more preferably substantially all the ions preferably stop in the stress layer.
  • the stress layer implant can use Ge or Xe ions or other large ions that have a molecular weight greater than or equal to 28 (e.g., Si and above Si in periodic table).
  • the ions can be comprised of: Ge, Ar, Xenon, indium, antimony, Si, N, O osr C.
  • the (e.g., Ge or Xe) ions can be implanted at a dose between 0.5E15 and 5E15 atoms/cc and preferably between 1E15 and 2 E15 atoms/cc; and at an energy between 5 and 50 KeV and preferably about 15 KeV.
  • the implant energy depends on nitride stress layer thickness, i.e., for a stress layer with a 300 Angstrom thickness, the ion implant energy will be about 15 keV.
  • the stress film e.g., SiN
  • the stress film can have a ion (e.g., Ge or Xe) concentration in the range from 1E20 to 1E22 atom/cm ⁇ 3 .
  • This ion implant (Ge I/I) parameters are targeted so the ions are substantially contained within the SIN stress layer.
  • the stress layer 46 and dielectric layer 44 can be removed using a mask and pattern process.
  • the Stress layer 46 A remains over the NMOS Tx 22 .
  • the anneal can be performed at a temperature between 850 and 1300 C; for a time between 0.001 and 20 seconds.
  • a typical anneal is performed at 950 degrees C. for about 5 seconds.
  • the purpose of the anneal is to “increase” the tensile stress of the stress layer as well as re-crystallize the poly or amorphous silicon gate.
  • the anneal can increase the tensile stress in the stress layer 46 A by between about 10 and 60%.
  • the SIN stress layer 46 A has a tensile stress between 1.4 and 2.0 GPa. This tensile is between about a 10 and 60% increase compared to the tensile (e.g., SiN) layer before the ion implant and anneal.
  • the tensile e.g., SiN
  • the anneal can be part of a S/D anneal. Also a special separate higher temperature and short duration anneal can also be inserted. For example a laser anneal can be performed.
  • S/D anneal An example of a S/D anneal that will adequately anneal the invention's ion implanted stress layer 46 A.
  • the S/D anneal can be performed at a temperature between 600 and 1300 C and preferably between 850 and 1300 C and more preferably between 925 and 1300 C for a time between close to zero (spike or laser anneal) 0.1 seconds and 5 minutes.
  • a typical S/D anneal is at 950 C for 5 sec.
  • a lower temperature than 950 C may cause large amount of transient enhance diffusion which is bad for current technologies.
  • the anneal can be performed at a temperature between 850 and 1300 C; for a time between 0.01 seconds and 5 minutes.
  • the embodiment's steps of: (1) I/I into the SiN stress layer, and (2) anneal increases the tensile stress in the NMOS channel thereby improving NMOS performance.
  • the ion implant coupled with anneal increases the initial stress film stress.
  • the “memorized” stress transferred to the gate is more significant as compared to plainly using an initial tensile (e.g. SiN ) stress film which has tensile stress to date that saturates at about 1.2 GPa.
  • the embodiment's ion implant and anneal increase the stress on the channel region.
  • the ions break the Si—N—H bonds in the stress layer and during the annealing process more H escape causing the stress film to be more tensile.
  • the possibility of forming Ge—N bonds in the SiGe N system can increase the stress. (more generally X—N bonds where the implanted ion is X).
  • the Ge concentration can range from 1E20 to 1E22 cm ⁇ 3 in a stress layer to increase the tensile stress.
  • FIG. 5B shows an overlying dielectric layer 56 and contacts 58 .
  • a tensile CESL contact etch stop layer SiN over the NMOS transistor, then form ILD layer, and then form contact holes.
  • the tensile ESL over the NMOS transistor might increase the tensile strain on the NMOS channel.
  • the example embodiment aims to provide an approach to increase the overall stress of the stress film 46 and hence increasing the stress level that can be induced in the Si channel.
  • the I/I 50 into the stress layer 46 and the subsequent anneal 54 increase the stress on the poly gate 32 A.
  • the poly gate 32 A retains (“Memorization”) the stress from the stress layer 46 and puts a tensile stress on the channel region in the substrate.
  • a tensile stress layer is preferably deposited after S/D implantation.
  • the gate and S/D regions are amorphorized either by the initial S/D implant or by additional Ge I/I during the S/D step.
  • the gate and S/D region re-crystallized and memorized the stress by the tensile stress layer.
  • the actual stress transfer mechanism is not well understood yet (i.e. it can either be from the gate or the S/D regions).
  • FIGS. 6 and 7 shows Si Data for the example embodiment vs a method using only steps of: SiN stress layer and anneal (no Ge I/I).
  • FIG. 6 shows nMOS Core lon/loff at nominal L.
  • FIG. 6 Gate length: 110 nm, SiN stress layer thickness: 300 A, dielectric (oxide) thickness 100 A
  • the S/D anneal was for 5 s at about 950 C.
  • FIG. 7 shows nMOS lon/loff at sub-nominal L
  • FIG. 7 Gate length: 100 nm
  • the S/D anneal was for 5 s at about 950 C.
  • the embodiment of the invention achieved Ion/loff enhancement for nFET of ⁇ 4% and 5% is achieved for a gate length of 110 nm and 100 nm respectively. Since stress memorization technique (SMT) process is expected to have larger impact of smaller dimension devices, this makes the current technique more ideal for more advance technology nodes.
  • SMT stress memorization technique

Abstract

Some example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region. An example embodiment for a NMOS transistor is to form a tensile stress layer over a NMOS transistor. A heavy ion implantation is performed into the stress layer and then an anneal is performed. This increases the amount of stress from the stress layer that the gate retains/memorizes thereby increasing device performance.

Description

    BACKGROUND OF INVENTION
  • 1) Field of the Invention
  • This invention relates generally to fabrication of semiconductor devices and more particularly to a method to change the stress in a stress layer over a FET device.
  • 2) Description of the Prior Art
  • Performance and economic factors of integrated circuit design and manufacture have caused the scale of elements (e.g. transistors, capacitors and the like) of integrated circuits to be drastically reduced in size and increased in proximity on a chip. That is, increased integration density and proximity of elements reduces the signal propagation path length and reduces signal propagation time and susceptibility to noise and increase of possible clock rates while the reduction in element size necessary for increased integration density increases to ratio of functionality which can be provided on a chip to the costs of production (e.g. wafer/chip area and process materials) per chip and, potentially, the cost of devices containing the chips by reducing the number of inter-chip and inter-board connections required.
  • It has also been shown theoretically and confirmed experimentally that mechanical stress in the channel region of an FET can increase or decrease carrier mobility significantly; depending on the sign of the stress (e.g. tensile or compressive) and the carrier type (e.g. electron or hole). Tensile stress increases electron mobility and decreases hole mobility while compressive stress increases hole mobility while decreasing electron mobility in the doped semiconductor crystal lattice forming the transistor channel. This phenomenon is well-recognized and theories concerning the physical effects by which it occurs are, in any event, unimportant to its exploitation. In this regard, numerous structures and materials have been proposed for inducing tensile or compressive force in a semiconductor material, such as shallow trench isolation (STI) structures, gate spacers, etch-stop layers and silicide which are generally included in integrated circuit designs.
  • The importance of overcoming the various deficiencies noted above is evidenced by the extensive technological development directed to the subject, as documented by the relevant patent and technical literature. The closest and apparently more relevant technical developments in the patent literature can be gleaned by considering the following.
  • C. H. Chen, T. L. Lee, T. H. Hou, C. L. Chen, C. C. Chen, J. W. Hsu, K. L. Cheng, Y. H. Chiu, H. J, Tao, Y. Jin, C. H. Diaz, S. C. Chen, and M.-S. Liang, “Stress Memorization Technique (SMT) by Selectively Strained-Nitride Capping for Sub-65 nm High-Performance Strained-Si Device Application”, 2004 Symposium on VLSI Technology Digest of Technical Papers
  • U.S. Pat. No. 6,939,814 and US20050093078A1: Inventor: Chan, Victor;—Increasing carrier mobility in NFET and PFET transistors on a common wafer—Adjusting a carrier mobility for different semiconductor conductivities on the same chip by forming layer of material applying stress level on surface of chip, and selectively reducing the stress level of the portion of layer of material.
  • U.S. Pat. No. 6,573,172: Methods for improving carrier mobility of PMOS and NMOS devices—Fabrication of semiconductor device by forming P-channel and N-channel metal oxide semiconductor transistors in wafer, forming tensile film on P-channel transistor and forming compressive film on N-channel transistor—Inventor: En, William George
  • US20040075148A1: Semiconductor device—the transistors each comprise an insulated film wrapping a gate electrode and extending to a location adjacent to a source/drain area, and the insulated film is mainly composed of silicon nitride, and the thickness of the insulated film of the n-channel field effect transistor differs from the thickness of the insulated film of the p-channel field effect transistor. Inventor: Kumagai, Yukihiro; Tsuchiura,
  • US20050093081A1: OXIDATION METHOD FOR ALTERING A FILM STRUCTURE AND CMOS TRANSISTOR STRUCTURE FORMED THEREWITH—Relaxing a tensile or compressive stress present in a film contacting a base layer by oxidizing the film to reduce a magnitude of the stress by supplying atomic oxygen to surface of the film—Inventor: Belyansky, Michael P.; Bethel, C T, US20050158937A1: METHOD AND STRUCTURE FOR CONTROLLING STRESS IN A TRANSISTOR CHANNEL—Manufacture of semiconductor device including n-type and p-type transistors comprises adjusting shallow trench isolation oxide corresponding to n-type and/or p-type devices and forming strain layer over semiconductor substrate. Inventor: Yang, Haining S
  • SUMMARY OF THE INVENTION
  • The following presents a simplified summary in order to provide a basic understanding of some aspects of some of the example embodiments of the invention. This summary is not an extensive overview of the example embodiments. It is intended neither to identify key or critical elements of the example embodiments nor to delineate the scope of the invention.
  • Some of the example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region. An example embodiment for a NMOS transistor is to form a tensile stress layer over the NMOS transistor. A ion implant is performed into the stress layer and then an anneal is performed. This increases the amount of stress from the stress layer that the gate retains/memorizes.
  • A example embodiment method of fabricating a semiconductor device comprises the steps of:
      • providing a MOS transistor over substrate;
      • the MOS transistor comprised of a gate dielectric, a gate electrode, and source and drain regions in the substrate adjacent to the gate electrode;
      • forming a stress layer over the MOS transistor; the stress layer containing tensile stress;
      • implanting ions into the stress layer;
      • performing an anneal of the substrate and stress layer whereby the implant and anneal increase the stress on the channel region of the MOS transistor.
  • Another example embodiment is method of fabricating a semiconductor device comprises the steps of:
      • providing a NMOS transistor and a PMOS transistor over substrate;
      • the NMOS transistor comprised of a gate dielectric, a gate electrode, and source and drain regions in the substrate adjacent to the gate electrode;
      • forming an dielectric layer over the NMOS transistor and the substrate surface;
      • forming a stress layer over the MOS transistor; the stress layer containing tensile stress;
      • removing the dielectric layer and stress layer from over the PMOS transistor;
      • implanting ions into the stress layer;
      • performing an anneal of the stress layer and the gate electrode.
  • The above and below advantages and features are of representative embodiments only, and are not exhaustive and/or exclusive. They are presented only to assist in understanding the invention. It should be understood that they are not representative of all the inventions defined by the claims, to be considered limitations on the invention as defined by the claims, or limitations on equivalents to the claims. For instance, some of these advantages may be mutually contradictory, in that they cannot be simultaneously present in a single embodiment. Similarly, some advantages are applicable to one aspect of the invention, and inapplicable to others. Furthermore, certain aspects of the claimed invention have not been discussed herein. However, no inference should be drawn regarding those discussed herein relative to those not discussed herein other than for purposes of space and reducing repetition. Thus, this summary of features and advantages should not be considered dispositive in determining equivalence. Additional features and advantages of the invention will become apparent in the following description, from the drawings, and from the claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features and advantages of a semiconductor device according to the present invention and further details of a process of fabricating such a semiconductor device in accordance with the present invention will be more clearly understood from the following description taken in conjunction with the accompanying drawings in which like reference numerals designate similar or corresponding elements, regions and portions and in which:
  • FIGS. 1 through 5A and 5B are cross sectional views for illustrating a method for manufacturing a semiconductor device according to an example embodiment of the present invention.
  • FIGS. 6 and 7 shows Si Data for the example embodiment vs a method using only steps of: SiN stress layer and anneal (no Ge I/I).
  • DETAILED DESCRIPTION OF THE EXAMPLE EMBODIMENTS
  • I. Introduction
  • Some example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region. An example embodiment for a NMOS Transistor is to form a tensile stress layer (e.g., SiN) over a NMOS transistor.
      • A gate dielectric layer and poly gate are formed.
      • An ion implant is performed to amorphorize the gate so that the gate is comprised of amorphous Si.
      • A important Ion implantation (I/I) is performed on the (SiN) stress layer that will initially relax the stress film but when combined with a subsequent anneal, will increase the tensile stress in the stress film higher than the initial starting stress.
      • Then an anneal is performed that can serve two purposes: (i) increasing the tensile stress of the implanted stress film, (ii) crystallizes the silicon containing gate thus increasing the amount of stress from the stress layer that the gate retains/memorizes.
  • The Si containing gate retains/memorizes a higher tensile stress from the SiN layer and the gate transfers this stress to the NMOS Tx channel. The Si in NMOS channel is under increased tensile stress that increase the electron mobility that increase device performance.
  • Examples of two key steps in the modulation of the stress of the stress (e.g., nitride) film are as follows.
      • First, a high dose (0.5˜5E15) and lower energy (15 keV) heavy ion is implanted into the stress film.
      • Second, a high temperature anneal (for example using the typical SD anneal) (e.g, between 850 and 1300 C) is needed to enhance/modulate the stress in the stress layer which can then be transferred to the gate.
  • An example of this heavy ion implantation to enhance the nitride stress film stress can be used in the modified SMT flow as show below.
  • The example embodiments of the present invention will be described in detail with reference to the accompanying drawings. The example embodiments provide a method of forming a FET using a stress layer and a heavy ion implant that increases stress layer stress.
  • A. Provide NMOS Tx and PMOS Tx
  • As shown in FIG. 1, we provide a NMOS transistor 22 in a NMOS region 14 and a PMOS transistor 20 in a PMOS region 18 over substrate 10.
  • The NMOS transistor 22 can be comprised of a gate dielectric 26, a gate electrode 32, and LDD (lightly doped source/drain or SDE ) regions (not shown); source and drain region 36 in the substrate adjacent to the gate electrode; and sidewall spacers 42.
  • The NMOS gate electrode 32 can be comprised of silicon, polysilicon or polySiGe or Ge; and is preferably comprised of polysilicon.
  • The PMOS transistor 20 can be comprised of a gate dielectric 24, a gate electrode 28, and LDD (lightly doped source/drain or SDE ) regions (not shown; source and drain regions 34 in the substrate adjacent to the gate electrode 28 and sidewall spacers 38. The PMOS gate electrode 32 can be comprised of silicon, polysilicon or polySiGe or Ge.
  • B. Amorphous Gate
  • At least portions of the gate that will have the stress film and anneal process should be comprised of amorphous silicon prior to the formation of the stress film. This can be accomplished by an ion implant into the gate. For example, a separate gate amorphorizing implant can be performed to implant ions into the gate. Likewise, if a if a Source/Drain pre amorphization implant (PAI) is performed,
  • C. Form Dielectric Layer
  • Next referring to FIG. 2, we form an optional dielectric layer 44 over the MOS transistor and the substrate surface.
  • The dielectric layer can be comprised of oxide layer 44 and is preferably comprised of oxide. The dielectric layer can have a thickness between 30 and 200 angstroms (tgt=100 angstroms). The dielectric layer can be comprised of a low temperature oxide layer (LTO).
  • The dielectric layer serves a reducing the defects when nitride layer is deposited on Si surface. It also serve as etch stop for nitride removal. It can be an optional layer if etch process is well tuned.
  • D. Form Stress Layer
  • Next, we form a (first) stress layer 46 over the dielectric layer 44.
  • In this example, the stress layer has tensile stress. The tensile stress layer 44 puts a tensile stress on the underlying channel of the MOS Tx.
  • The stress layer 46 can be comprised of silicon oxy-nitride (SiON) or silicon nitride and can have a thickness between 300 and 1000 angstroms (tgt=400 angstroms).
  • At this time in the process, the stress layer 46 can have a tensile stress of between 0.3 GPa and 1.2 Gpa.
  • E. Ion Implant Ions Into the Stress Layer
  • As shown in FIG. 3, in a key step, we implant ions using a high dose/low energy implant process into the stress film. The heavy ion (or large ions) ions 50 are implanted into the stress layer 46 and preferably not below the stress layer. Preferably the majority of ions stop in the stress layer 46 and more preferably substantially all the ions preferably stop in the stress layer.
  • The stress layer implant can use Ge or Xe ions or other large ions that have a molecular weight greater than or equal to 28 (e.g., Si and above Si in periodic table). For example, the ions can be comprised of: Ge, Ar, Xenon, indium, antimony, Si, N, O osr C.
  • The (e.g., Ge or Xe) ions can be implanted at a dose between 0.5E15 and 5E15 atoms/cc and preferably between 1E15 and 2 E15 atoms/cc; and at an energy between 5 and 50 KeV and preferably about 15 KeV. For example, the implant energy depends on nitride stress layer thickness, i.e., for a stress layer with a 300 Angstrom thickness, the ion implant energy will be about 15 keV.
  • Preferably, the stress film (e.g., SiN) can have a ion (e.g., Ge or Xe) concentration in the range from 1E20 to 1E22 atom/cm−3.
  • This ion implant (Ge I/I) parameters are targeted so the ions are substantially contained within the SIN stress layer.
  • F. Remove the Stress Layer from the PMOS Region
  • Referring to FIG. 4, we remove the stress layer 46 and dielectric layer 44 from the PMOS region 18. The stress layer can be removed using a mask and pattern process. The Stress layer 46A remains over the NMOS Tx 22.
  • G. Perform an Anneal of the Substrate;
  • Referring to FIG. 4, we perform an anneal 54 of the substrate.
  • The anneal can be performed at a temperature between 850 and 1300 C; for a time between 0.001 and 20 seconds. A typical anneal is performed at 950 degrees C. for about 5 seconds.
  • The purpose of the anneal is to “increase” the tensile stress of the stress layer as well as re-crystallize the poly or amorphous silicon gate.
  • The anneal can increase the tensile stress in the stress layer 46A by between about 10 and 60%.
  • After the anneal, the SIN stress layer 46A has a tensile stress between 1.4 and 2.0 GPa. This tensile is between about a 10 and 60% increase compared to the tensile (e.g., SiN) layer before the ion implant and anneal.
  • The anneal can be part of a S/D anneal. Also a special separate higher temperature and short duration anneal can also be inserted. For example a laser anneal can be performed.
  • An example of a S/D anneal that will adequately anneal the invention's ion implanted stress layer 46A. The S/D anneal can be performed at a temperature between 600 and 1300 C and preferably between 850 and 1300 C and more preferably between 925 and 1300 C for a time between close to zero (spike or laser anneal) 0.1 seconds and 5 minutes. For example, a typical S/D anneal is at 950 C for 5 sec. A lower temperature than 950 C may cause large amount of transient enhance diffusion which is bad for current technologies.
  • In an other example, the anneal can be performed at a temperature between 850 and 1300 C; for a time between 0.01 seconds and 5 minutes.
  • The embodiment's steps of: (1) I/I into the SiN stress layer, and (2) anneal increases the tensile stress in the NMOS channel thereby improving NMOS performance.
  • The ion implant coupled with anneal increases the initial stress film stress. As a result the “memorized” stress transferred to the gate is more significant as compared to plainly using an initial tensile (e.g. SiN ) stress film which has tensile stress to date that saturates at about 1.2 GPa.
  • There are two theories of the how the embodiment's ion implant and anneal increase the stress on the channel region. First the ions break the Si—N—H bonds in the stress layer and during the annealing process more H escape causing the stress film to be more tensile. Second, the possibility of forming Ge—N bonds in the SiGe N system can increase the stress. (more generally X—N bonds where the implanted ion is X). For example, the Ge concentration can range from 1E20 to 1E22 cm−3 in a stress layer to increase the tensile stress.
  • H. Remove the Stress Layer
  • Referring to FIG. 5A, we preferably remove the dielectric layer 44 and the stress layer 46.
  • I. Subsequent Process Steps
  • Conventional processing is performed to complete the devices. An example sequence is salicide, ESL (any combination of tensile and compressive), IDL Deposition, contact etch, etc. . . . (i.e. standard process flow after S/D anneal). For example, FIG. 5B shows an overlying dielectric layer 56 and contacts 58.
  • It may be possible to form a tensile or compressive etch stop layer over the gate structures to further improve the channel stress.
  • For example, we could form a tensile CESL ( contact etch stop layer) SiN over the NMOS transistor, then form ILD layer, and then form contact holes. The tensile ESL over the NMOS transistor might increase the tensile strain on the NMOS channel.
  • J. Review
  • The example embodiment aims to provide an approach to increase the overall stress of the stress film 46 and hence increasing the stress level that can be induced in the Si channel. In this example, the I/I 50 into the stress layer 46 and the subsequent anneal 54 increase the stress on the poly gate 32A. after the stress layer 46 is removed, the poly gate 32A retains (“Memorization”) the stress from the stress layer 46 and puts a tensile stress on the channel region in the substrate.
  • A tensile stress layer is preferably deposited after S/D implantation. The gate and S/D regions are amorphorized either by the initial S/D implant or by additional Ge I/I during the S/D step. As such, after an annealing step, the gate and S/D region re-crystallized and memorized the stress by the tensile stress layer. The actual stress transfer mechanism is not well understood yet (i.e. it can either be from the gate or the S/D regions).
  • K. Examples
  • The following non-limiting examples represent preferred forms and best modes contemplated by the inventor for practice of his invention, as well as illustrating the results obtained through its use.
  • FIGS. 6 and 7 shows Si Data for the example embodiment vs a method using only steps of: SiN stress layer and anneal (no Ge I/I).
  • FIG. 6 shows nMOS Core lon/loff at nominal L. FIG. 6: Gate length: 110 nm, SiN stress layer thickness: 300 A, dielectric (oxide) thickness 100 A The S/D anneal was for 5 s at about 950 C.
  • FIG. 7 shows nMOS lon/loff at sub-nominal L, FIG. 7: Gate length: 100 nm, SiN thickness: 300 A, oxide thickness 100 A; g1n110 13/1 For FIG. 7, the S/D anneal was for 5 s at about 950 C.
  • The embodiment of the invention achieved Ion/loff enhancement for nFET of ˜4% and 5% is achieved for a gate length of 110 nm and 100 nm respectively. Since stress memorization technique (SMT) process is expected to have larger impact of smaller dimension devices, this makes the current technique more ideal for more advance technology nodes.
  • L. Non-Limiting Example Embodiments
  • Given the variety of embodiments of the present invention just described, the above description and illustrations show not be taken as limiting the scope of the present invention defined by the claims.
  • While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention. It is intended to cover various modifications and similar arrangements and procedures, and the scope of the appended claims therefore should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements and procedures.

Claims (17)

1. A method of fabricating a semiconductor device comprising the steps of:
a) providing a MOS transistor over substrate;
(1) said MOS transistor comprised of a gate dielectric, a gate electrode, and source and drain regions in said substrate adjacent to said gate electrode;
b) forming a stress layer over said MOS transistor; said stress layer have a tensile stress;
c) implanting ions into the stress layer;
d) performing an anneal of said substrate and stress layer whereby the implant and anneal increase the stress on the channel region of the MOS transistor.
2. The method of claim 1 which further comprises removing the stress layer.
3. The method of claim 1 which further comprises; the MOS transistor is a NMOS transistor and the stress layer is a tensile stress layer.
4. The method of claim 1 wherein said stress layer is comprised of SiON or silicon nitride and has a thickness between 300 and 1000 angstroms;
(1) before the ion implantation and anneal steps, the stress layer has a tensile stress of between 0.3 and 1.2 Gpa.
5. The method of claim 1 wherein the ions are Ge or Xe ions and the ions implanted at an energy between 5 and 50; at a dose between 5E14 AND 5E15 ions/sq-cm; the ions are substantially implanted into the stress layer and do not significantly reach the gate electrode.
6. The method of claim 1 wherein the stress film has an ion concentration in the range from 1E20 to 1E22 atom/cm−3.
7. The method of claim 1 wherein the ions have a molecular weight greater than or equal to 28 and the ions are implanted at an energy between 5 and 50; at a dose between 5E14 AND 5E15 ions/sq-cm.
8. The method of claim 1 wherein the anneal is performed at a temperature between 850 and 1300 C; for a time between 0.01 seconds and 5 minutes.
9. A method of fabricating a semiconductor device; comprising the steps of:
a) providing a NMOS transistor and a PMOS transistor over substrate;
(1) said NMOS transistor comprised of a gate dielectric, a gate electrode, and source and drain regions in said substrate adjacent to said gate electrode;
b) forming a stress layer over said MOS transistor; said stress layer containing tensile stress;
c) removing stress layer from over the PMOS transistor;
d) implanting ions into the stress layer;
e) performing an anneal of said stress layer and said gate electrode.
10. The method of claim 9 which further comprises removing the stress layer.
11. The method of claim 9 which further comprises forming an dielectric layer over said NMOS transistor and said substrate surface; and forming the stress layer over the dielectric layer.
12. The method of claim 9 which further comprises forming an dielectric layer over said NMOS transistor and said substrate surface; and forming the stress layer over the dielectric layer; said dielectric layer is comprised of oxide layer and has a thickness between 30 and 200 angstroms.
13. The method of claim 9 wherein said stress layer is comprised of SiON or silicon nitride and has a thickness between 300 and 1000 angstroms;
(1) before the anneal, the stress layer has a (tensile) stress of between 0.3 and 1.2 Gpa.
14. The method of claim 9 wherein the anneal is performed at a temperature between 850 and 1300 C; for a time between 0.01 seconds and 5 minutes.
15. The method of claim x wherein the stress layer has an ion concentration in the range from 1E20 to 1E22 atom/cm−3.
16. The method of claim 9 wherein the ions have a molecular weight equal to or greater than 28,
17. The method of claim 9 wherein the ions are comprised of Ge or Xe and the Ge or Xe ions are implanted at an energy between 5 and 50; at a dose between 5E14 and 5E15 ions/sq-cm.
US11/304,412 2005-12-15 2005-12-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique Abandoned US20070141775A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/304,412 US20070141775A1 (en) 2005-12-15 2005-12-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
SG200607222-7A SG133478A1 (en) 2005-12-15 2006-10-17 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US11/940,326 US7592270B2 (en) 2005-12-15 2007-11-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US12/510,276 US8119541B2 (en) 2005-12-15 2009-07-28 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US13/236,627 US8153537B1 (en) 2005-12-15 2011-09-19 Method for fabricating semiconductor devices using stress engineering

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/304,412 US20070141775A1 (en) 2005-12-15 2005-12-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/940,326 Continuation US7592270B2 (en) 2005-12-15 2007-11-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique

Publications (1)

Publication Number Publication Date
US20070141775A1 true US20070141775A1 (en) 2007-06-21

Family

ID=38174173

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/304,412 Abandoned US20070141775A1 (en) 2005-12-15 2005-12-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US11/940,326 Expired - Fee Related US7592270B2 (en) 2005-12-15 2007-11-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US12/510,276 Active 2026-06-05 US8119541B2 (en) 2005-12-15 2009-07-28 Modulation of stress in stress film through ion implantation and its application in stress memorization technique

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/940,326 Expired - Fee Related US7592270B2 (en) 2005-12-15 2007-11-15 Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US12/510,276 Active 2026-06-05 US8119541B2 (en) 2005-12-15 2009-07-28 Modulation of stress in stress film through ion implantation and its application in stress memorization technique

Country Status (2)

Country Link
US (3) US20070141775A1 (en)
SG (1) SG133478A1 (en)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070210381A1 (en) * 2006-03-13 2007-09-13 Freescale Semiconductor, Inc. Electronic device and a process for forming the electronic device
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer
US20080026599A1 (en) * 2006-07-28 2008-01-31 Spencer Gregory S Transfer of stress to a layer
US20080026517A1 (en) * 2006-07-28 2008-01-31 Grudowski Paul A Method for forming a stressor layer
US20080173950A1 (en) * 2007-01-18 2008-07-24 International Business Machines Corporation Structure and Method of Fabricating Electrical Structure Having Improved Charge Mobility
US20080203486A1 (en) * 2007-02-28 2008-08-28 Maciej Wiatr Method for differential spacer removal by wet chemical etch process and device with differential spacer structure
US20080261362A1 (en) * 2007-04-19 2008-10-23 Da Zhang Method of making a semiconductor device using a stressor
US20080261355A1 (en) * 2007-04-19 2008-10-23 Sinan Goktepeli Method of making a semiconductor device with a stressor
US20080277730A1 (en) * 2007-05-07 2008-11-13 Texas Instruments Incorporated Semiconductor Device Manufactured Using a Laminated Stress Layer
US20090020791A1 (en) * 2007-07-16 2009-01-22 Shaofeng Yu Process method to fabricate cmos circuits with dual stress contact etch-stop liner layers
US20090142891A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Maskless stress memorization technique for cmos devices
US20090246926A1 (en) * 2008-03-31 2009-10-01 Andreas Gehring Method for creating tensile strain by applying stress memorization techniques at close proximity to the gate electrode
US20100237425A1 (en) * 2009-03-20 2010-09-23 International Business Machines Corporation High Threshold Voltage NMOS Transistors For Low Power IC Technology
US20110097859A1 (en) * 2009-10-26 2011-04-28 Wen-Tai Chiang Method of fabricating cmos transistor
US20110163357A1 (en) * 2010-01-05 2011-07-07 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US20110269278A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Inc. Stress Memorization with Reduced Fringing Capacitance Based on Silicon Nitride in MOS Semiconductor Devices
US20110312144A1 (en) * 2008-03-10 2011-12-22 Texas Instruments Incorporated Novel method to enhance channel stress in cmos processes
US8101480B1 (en) * 2010-09-03 2012-01-24 Samsung Electronics Co., Ltd. Methods of forming transistors and CMOS semiconductor devices using an SMT technique
CN102479719A (en) * 2010-11-30 2012-05-30 中芯国际集成电路制造(北京)有限公司 Manufacturing method and stress layer structure of semiconductor device with strain memory function
US20130196495A1 (en) * 2012-01-27 2013-08-01 Globalfoundries Inc. Methods for fabricating mos devices with stress memorization
US8535999B2 (en) 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
US20140170837A1 (en) * 2012-12-13 2014-06-19 Imec Methods for Manufacturing Semiconductor Devices
US20150228788A1 (en) * 2014-02-13 2015-08-13 United Microelectronics Corp. Stress memorization process and semiconductor structure including contact etch stop layer
US20160086841A1 (en) * 2014-09-19 2016-03-24 Samsung Electronics Co., Ltd. Method for forming pattern of semiconductor device and semiconductor device formed using the same
CN107871710A (en) * 2016-09-23 2018-04-03 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacture method
US10892263B2 (en) 2018-06-15 2021-01-12 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5034332B2 (en) * 2006-06-14 2012-09-26 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7759207B2 (en) * 2007-03-21 2010-07-20 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress memorization transfer
US7858482B2 (en) * 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
US8207043B2 (en) * 2009-09-28 2012-06-26 United Microelectronics Corp. Method for fabricating a semiconductor device
CN101840862B (en) * 2009-10-15 2013-02-20 中国科学院微电子研究所 Forming method of high-performance semiconductor device
US8691638B2 (en) * 2010-12-10 2014-04-08 Globalfoundries Singapore Pte. Ltd. High-K metal gate device
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
CN102779753B (en) * 2011-05-12 2015-05-06 中芯国际集成电路制造(上海)有限公司 Manufacture method of semiconductor device
US8710596B2 (en) 2011-05-13 2014-04-29 United Microelectronics Corp. Semiconductor device
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8507350B2 (en) 2011-09-21 2013-08-13 United Microelectronics Corporation Fabricating method of semiconductor elements
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8633549B2 (en) 2011-10-06 2014-01-21 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
KR102005485B1 (en) 2011-11-04 2019-07-31 삼성디스플레이 주식회사 Display panel
US8975672B2 (en) 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US8921206B2 (en) 2011-11-30 2014-12-30 United Microelectronics Corp. Semiconductor process
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8536072B2 (en) 2012-02-07 2013-09-17 United Microelectronics Corp. Semiconductor process
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9049061B2 (en) * 2012-03-21 2015-06-02 The Institute of Microelectronics Chinese Academy of Science CMOS device and method for manufacturing the same
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8546203B1 (en) 2012-07-17 2013-10-01 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US8673699B2 (en) 2012-07-17 2014-03-18 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9012300B2 (en) 2012-10-01 2015-04-21 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US9064931B2 (en) 2012-10-11 2015-06-23 United Microelectronics Corp. Semiconductor structure having contact plug and metal gate transistor and method of making the same
US8866235B2 (en) * 2012-11-09 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain dislocation fabrication in FinFETs
US8927388B2 (en) 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US8883621B2 (en) 2012-12-27 2014-11-11 United Microelectronics Corp. Semiconductor structure and method of fabricating MOS device
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9196352B2 (en) 2013-02-25 2015-11-24 United Microelectronics Corp. Static random access memory unit cell structure and static random access memory unit cell layout structure
US9214395B2 (en) 2013-03-13 2015-12-15 United Microelectronics Corp. Method of manufacturing semiconductor devices
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9093285B2 (en) 2013-03-22 2015-07-28 United Microelectronics Corp. Semiconductor structure and process thereof
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8993433B2 (en) 2013-05-27 2015-03-31 United Microelectronics Corp. Manufacturing method for forming a self aligned contact
US9349812B2 (en) 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159856A (en) * 1996-12-26 2000-12-12 Sony Corporation Method of manufacturing a semiconductor device with a silicide layer
US20020079547A1 (en) * 2000-12-21 2002-06-27 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US20030104663A1 (en) * 2001-11-30 2003-06-05 Visokay Mark R. Multiple work function gates
US20030139025A1 (en) * 2002-01-22 2003-07-24 Tong-Hsin Lee Method of forming a MOS transistor with improved threshold voltage stability
US20040075148A1 (en) * 2000-12-08 2004-04-22 Yukihiro Kumagai Semiconductor device
US20050093081A1 (en) * 2003-11-04 2005-05-05 Internatioanal Business Machines Corporation Oxidation method for altering a film structure and cmos transistor structure formed therewith
US20050093078A1 (en) * 2003-10-30 2005-05-05 Victor Chan Increasing carrier mobility in NFET and PFET transistors on a common wafer
US20050158937A1 (en) * 2004-01-16 2005-07-21 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US20050255659A1 (en) * 2004-05-13 2005-11-17 Zhiqiang Wu CMOS transistor using high stress liner layer
US20060252194A1 (en) * 2005-05-04 2006-11-09 Chartered Semiconductor Manufacturing Ltd. Composite stress spacer
US20070024321A1 (en) * 2005-07-26 2007-02-01 Chien-Ting Lin Semiconductor cmos transistors and method of manufacturing the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100500451B1 (en) * 2003-06-16 2005-07-12 삼성전자주식회사 Methods of fabricating a semiconductor device including a MOS transistor having a strained channel
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
US6984564B1 (en) * 2004-06-24 2006-01-10 International Business Machines Corporation Structure and method to improve SRAM stability without increasing cell area or off current
US7214629B1 (en) * 2004-11-16 2007-05-08 Xilinx, Inc. Strain-silicon CMOS with dual-stressed film
US7232730B2 (en) * 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159856A (en) * 1996-12-26 2000-12-12 Sony Corporation Method of manufacturing a semiconductor device with a silicide layer
US20040075148A1 (en) * 2000-12-08 2004-04-22 Yukihiro Kumagai Semiconductor device
US20020079547A1 (en) * 2000-12-21 2002-06-27 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US20030104663A1 (en) * 2001-11-30 2003-06-05 Visokay Mark R. Multiple work function gates
US20030139025A1 (en) * 2002-01-22 2003-07-24 Tong-Hsin Lee Method of forming a MOS transistor with improved threshold voltage stability
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US20050093078A1 (en) * 2003-10-30 2005-05-05 Victor Chan Increasing carrier mobility in NFET and PFET transistors on a common wafer
US20050093081A1 (en) * 2003-11-04 2005-05-05 Internatioanal Business Machines Corporation Oxidation method for altering a film structure and cmos transistor structure formed therewith
US20050158937A1 (en) * 2004-01-16 2005-07-21 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US20050255659A1 (en) * 2004-05-13 2005-11-17 Zhiqiang Wu CMOS transistor using high stress liner layer
US20060252194A1 (en) * 2005-05-04 2006-11-09 Chartered Semiconductor Manufacturing Ltd. Composite stress spacer
US20070024321A1 (en) * 2005-07-26 2007-02-01 Chien-Ting Lin Semiconductor cmos transistors and method of manufacturing the same

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070210381A1 (en) * 2006-03-13 2007-09-13 Freescale Semiconductor, Inc. Electronic device and a process for forming the electronic device
US7560318B2 (en) 2006-03-13 2009-07-14 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor layers having different stresses
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer
US7678636B2 (en) * 2006-06-29 2010-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of stress memorization layer
US7479465B2 (en) * 2006-07-28 2009-01-20 Freescale Semiconductor, Inc. Transfer of stress to a layer
US20080026599A1 (en) * 2006-07-28 2008-01-31 Spencer Gregory S Transfer of stress to a layer
US20080026517A1 (en) * 2006-07-28 2008-01-31 Grudowski Paul A Method for forming a stressor layer
US20080173950A1 (en) * 2007-01-18 2008-07-24 International Business Machines Corporation Structure and Method of Fabricating Electrical Structure Having Improved Charge Mobility
US8298924B2 (en) * 2007-02-28 2012-10-30 Advanced Micro Devices, Inc. Method for differential spacer removal by wet chemical etch process and device with differential spacer structure
US20080203486A1 (en) * 2007-02-28 2008-08-28 Maciej Wiatr Method for differential spacer removal by wet chemical etch process and device with differential spacer structure
US7534674B2 (en) * 2007-04-19 2009-05-19 Freescale Semiconductor, Inc. Method of making a semiconductor device with a stressor
US7727870B2 (en) 2007-04-19 2010-06-01 Freescale Semiconductor, Inc. Method of making a semiconductor device using a stressor
US20080261355A1 (en) * 2007-04-19 2008-10-23 Sinan Goktepeli Method of making a semiconductor device with a stressor
US20080261362A1 (en) * 2007-04-19 2008-10-23 Da Zhang Method of making a semiconductor device using a stressor
US20080277730A1 (en) * 2007-05-07 2008-11-13 Texas Instruments Incorporated Semiconductor Device Manufactured Using a Laminated Stress Layer
US7611939B2 (en) * 2007-05-07 2009-11-03 Texas Instruments Incorporated Semiconductor device manufactured using a laminated stress layer
US20090020791A1 (en) * 2007-07-16 2009-01-22 Shaofeng Yu Process method to fabricate cmos circuits with dual stress contact etch-stop liner layers
US20090142891A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Maskless stress memorization technique for cmos devices
US20110312144A1 (en) * 2008-03-10 2011-12-22 Texas Instruments Incorporated Novel method to enhance channel stress in cmos processes
US20090246926A1 (en) * 2008-03-31 2009-10-01 Andreas Gehring Method for creating tensile strain by applying stress memorization techniques at close proximity to the gate electrode
US8129236B2 (en) * 2008-03-31 2012-03-06 Globalfoundries Inc. Method for creating tensile strain by applying stress memorization techniques at close proximity to the gate electrode
US20100237425A1 (en) * 2009-03-20 2010-09-23 International Business Machines Corporation High Threshold Voltage NMOS Transistors For Low Power IC Technology
US8969969B2 (en) 2009-03-20 2015-03-03 International Business Machines Corporation High threshold voltage NMOS transistors for low power IC technology
US8927361B2 (en) 2009-03-20 2015-01-06 International Business Machines Corporation High threshold voltage NMOS transistors for low power IC technology
US20110097859A1 (en) * 2009-10-26 2011-04-28 Wen-Tai Chiang Method of fabricating cmos transistor
US8080454B2 (en) 2009-10-26 2011-12-20 United Microelectronics Corp. Method of fabricating CMOS transistor
US20110163357A1 (en) * 2010-01-05 2011-07-07 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US8836036B2 (en) * 2010-01-05 2014-09-16 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US8426266B2 (en) * 2010-04-30 2013-04-23 Globalfoundries Inc. Stress memorization with reduced fringing capacitance based on silicon nitride in MOS semiconductor devices
US20110269278A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Inc. Stress Memorization with Reduced Fringing Capacitance Based on Silicon Nitride in MOS Semiconductor Devices
US8101480B1 (en) * 2010-09-03 2012-01-24 Samsung Electronics Co., Ltd. Methods of forming transistors and CMOS semiconductor devices using an SMT technique
US8535999B2 (en) 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
CN102479719A (en) * 2010-11-30 2012-05-30 中芯国际集成电路制造(北京)有限公司 Manufacturing method and stress layer structure of semiconductor device with strain memory function
US8753969B2 (en) * 2012-01-27 2014-06-17 GlobalFoundries, Inc. Methods for fabricating MOS devices with stress memorization
US20130196495A1 (en) * 2012-01-27 2013-08-01 Globalfoundries Inc. Methods for fabricating mos devices with stress memorization
US20140170837A1 (en) * 2012-12-13 2014-06-19 Imec Methods for Manufacturing Semiconductor Devices
US9117777B2 (en) * 2012-12-13 2015-08-25 Imec Methods for manufacturing semiconductor devices
US20150228788A1 (en) * 2014-02-13 2015-08-13 United Microelectronics Corp. Stress memorization process and semiconductor structure including contact etch stop layer
US20160086841A1 (en) * 2014-09-19 2016-03-24 Samsung Electronics Co., Ltd. Method for forming pattern of semiconductor device and semiconductor device formed using the same
CN107871710A (en) * 2016-09-23 2018-04-03 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacture method
US10892263B2 (en) 2018-06-15 2021-01-12 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device

Also Published As

Publication number Publication date
US8119541B2 (en) 2012-02-21
US20080064191A1 (en) 2008-03-13
SG133478A1 (en) 2007-07-30
US7592270B2 (en) 2009-09-22
US20090286365A1 (en) 2009-11-19

Similar Documents

Publication Publication Date Title
US8119541B2 (en) Modulation of stress in stress film through ion implantation and its application in stress memorization technique
JP5305907B2 (en) High performance MOSFET including stressed gate metal silicide layer and method of manufacturing the same
US6939814B2 (en) Increasing carrier mobility in NFET and PFET transistors on a common wafer
JP4906868B2 (en) Structure and method for increasing strain enhancement by spacerless FET and dual liner method
US9117929B2 (en) Method for forming a strained transistor by stress memorization based on a stressed implantation mask
US7863201B2 (en) Methods of forming field effect transistors having silicided source/drain contacts with low contact resistance
US7843013B2 (en) Semiconductor device and method for fabricating the same
US20040253790A1 (en) Method for manufacturing semiconductor device
US8115271B2 (en) Reducing device performance drift caused by large spacings between active regions
KR20050096386A (en) Semiconductor integrated circuit and method of manufacturing the same
US20050186722A1 (en) Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
JP2005136351A (en) Semiconductor device and manufacturing method therefor
US7534674B2 (en) Method of making a semiconductor device with a stressor
WO2008041301A1 (en) Semiconductor device and its manufacturing method
US7618857B2 (en) Method of reducing detrimental STI-induced stress in MOSFET channels
US20100025742A1 (en) Transistor having a strained channel region caused by hydrogen-induced lattice deformation
TW200836296A (en) Method of forming strained CMOS transistor
JP2980084B2 (en) Method of manufacturing complementary MOS semiconductor device
KR100631998B1 (en) thin film of a semiconductor device, method for forming the thin film and method for forming a semiconductor device using the method for forming the thin film
JP2004014779A (en) Semiconductor device and method of manufacturing the same
JPH10275864A (en) Semiconductor device manufacturing method
US20090032878A1 (en) Semiconductor device and fabrication method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING, LTD., SINGA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TEO, LEE WEE;QUEK, ELGIN;REEL/FRAME:017386/0647;SIGNING DATES FROM 20051205 TO 20051212

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE