KR20050118717A - FinFET 디바이스 구조의 형성 방법 - Google Patents

FinFET 디바이스 구조의 형성 방법 Download PDF

Info

Publication number
KR20050118717A
KR20050118717A KR1020057018827A KR20057018827A KR20050118717A KR 20050118717 A KR20050118717 A KR 20050118717A KR 1020057018827 A KR1020057018827 A KR 1020057018827A KR 20057018827 A KR20057018827 A KR 20057018827A KR 20050118717 A KR20050118717 A KR 20050118717A
Authority
KR
South Korea
Prior art keywords
fin structure
single crystal
fin
dielectric
approximately
Prior art date
Application number
KR1020057018827A
Other languages
English (en)
Other versions
KR101070845B1 (ko
Inventor
밍-렌 린
하이홍 왕
빈 유
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20050118717A publication Critical patent/KR20050118717A/ko
Application granted granted Critical
Publication of KR101070845B1 publication Critical patent/KR101070845B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

반도체 디바이스는 제 1 핀 구조(810)와, 제 2 핀 구조(810)와, 그리고 제 3 핀 구조(210)를 포함한다. 제 1 및 제 2 핀 구조(810)는 단결정 실리콘 물질을 포함한다. 제 3 핀 구조(210)는 제 1 핀 구조(810)와 제 2 핀 구조(810) 사이에 위치하고, 유전체 물질을 포함한다. 제 3 핀 구조(210)는 제 1 핀 구조(810)와 제 2 핀 구조(810)의 단결정 실리콘 물질에 유도되는 스트레스를 야기한다.

Description

FinFET 디바이스 구조의 형성 방법{METHOD FOR FORMING STRUCTURES IN FINFET DEVICES}
본 발명은 일반적으로 반도체 제조에 관한 것이고, 더욱 상세하게는 FinFET 디바이스의 형성에 관한 것이다.
극대규모 집적회로(ultra large scale integration) 반도체 디바이스에 관련된 고밀도와 성능에 대한 점증하는 요구는 100nm(nanometer) 이하의 게이트 길이와 같은 디자인 피처(feature)와, 고 신뢰도, 및 증대된 제조 수율을 필요로 한다. 100nm 이하의 디자인 피처의 축소는 종래 방법론의 한계에 대한 해결과제이다.
예를 들면, 종래의 평면 금속 옥사이드 반도체 전계 효과 트랜지스터(MOSFET)의 게이트 길이가 100nm 이하로 축소되면, 소스와 드레인 사이의 과도 누설과 같은 짧은 채널 효과(short channel effect)에 관련된 문제가 점차 극복하기 어려워진다. 게다가, 이동도(mobility) 저하와 수많은 공정 문제도 또한, 종래의 MOSFET을 점진적으로 작아지는 디바이스 피처들을 포함하도록 축소하는 것을 어렵게 한다. 따라서, FET 성능을 개선하고 디바이스를 더 축소하기 위한 신규한 디바이스 구조가 조사되고 있다.
이중-게이트 MOSFET이 기존의 평면 MOSFET의 뒤를 잇는 후보자로 간주되는 신규한 구조를 대표한다. 이중-게이트 MOSFET에서, 두 개의 게이트가 짧은 채널 효과를 제어하는데 사용될 것이다. FinFET은 좋은 짧은 채널 특성을 나타내는 이중-게이트 구조이다. FinFET 구조는 종래의 평면 MOSFET에 사용되는 것과 유사한 레이아웃(layout)과 공정 기술을 사용하여 제조될 것이다.
본 명세서에 통합되어 일부분을 구성하는 첨부된 도면은 본 발명의 실시예를 도시하고, 상세한 설명과 함께 본 발명을 설명한다. 도면은 다음과 같다.
도 1은 본 발명에 따른 실시에서의 FinFET 디바이스를 위한 핀 구조를 형성하는 예시적인 공정을 도시한다;
도 2-9는 도 1에 설명된 공정에 따라 제조되는 FinFET 디바이스의 예시적인 도면이다;
도 10-15는 본 발명에 따른 대안적인 실시에서 복수의 핀 구조를 형성하는 예시적인 도면이다; 그리고
도 16 및 도 17은 본 발명에 따른 대안적인 실시에 따라 트랜치(trench)를 생성하는 예시적인 도면이다.
본 발명에 따른 실시는 유전체 핀 구조(dielectric fin structure)의 반대 측들에 형성된 단결정 실리콘 핀 구조들을 제공한다. 유전체 핀 구조의 물질은 단결정 실리콘 물질에서 상당량의 스트레스(stress)를 유발하도록 선택된다. 따라서, 이동도(mobility)가 개선된다.
본 명세서에서 구체화되고 일반적으로 설명된 바와 같은 본 발명의 목적에 따르면, 반도체 디바이스는 유전체 물질을 포함하고, 제 1 측면과 제 2 측면을 구비한 제 1 핀 구조와; 단결정 실리콘 물질을 포함하고, 상기 제 1 핀 구조의 제 1 측면에 인접하게 형성된 제 2 핀 구조와; 단결정 실리콘 물질을 포함하고, 상기 제 1 핀 구조의 제 2 측면에 인접하게 형성된 제 3 핀 구조와; 제 1 핀 구조, 제 2 핀 구조, 및 제 3 핀 구조의 일 종단에 형성된 소스 영역과; 제 1 핀 구조, 제 2 핀 구조, 및 제 3 핀 구조의 반대 측 종단에 형성된 드레인 영역과; 그리고 적어도 하나의 게이트를 포함한다.
본 발명에 따른 다른 실시에서, 기판과 이 기판 위에 형성된 유전체 층을 포함하는 반도체를 제조하는 방법이 개시된다. 상기 방법은 제 1 핀 구조를 형성하도록 유전체 층을 식각하는 단계와; 비정질 실리콘층을 증착하는 단계와; 제 1 핀 구조의 제 1 측면에 인접한 제 2 핀 구조와 제 1 핀 구조의 제 2 반대 측면에 인접한 제 3 핀 구조를 형성하도록 비정질 실리콘층을 식각하는 단계와; 최소한 제 2 핀 구조와 제 3 핀 구조의 상부 표면 위에 금속 층을 증착하는 단계와; 제 2 및 제 3 핀 구조 내의 비정질 실리콘을 단결정 실리콘 물질로 변환하도록 금속 유도 결정화 작업(metal induced crystallization operation)을 수행하는 단계와; 소스 영역 및 드레인 영역을 형성하는 단계와; 제 1, 제 2, 및 제 3 핀 구조 위에 게이트 물질을 증착하는 단계와; 그리고 적어도 하나의 게이트 전극을 형성하도록 게이트 물질을 패터닝하고 식각하는 단계를 포함한다.
본 발명에 따른 또 다른 실시에서, 제 1 핀 구조, 제 2 핀 구조, 및 제 3 핀 구조를 포함하는 반도체 디바이스가 개시된다. 제 1 및 제 2 핀 구조는 단결정 실리콘 물질을 포함한다. 제 3 핀 구조는 제 1 핀 구조와 제 2 핀 구조 사이에 위치하고, 유전체 물질을 포함한다. 제 3 핀 구조는 제 1 핀 구조와 제 2 핀 구조의 단결정 실리콘 물질에서 유발되는 스트레스를 야기한다.
본 발명에 따른 하기의 상세한 설명은 첨부된 도면을 참조한다. 서로 다른 도면에서 동일한 참조 번호는 동일하거나 유사한 소자들을 나타낸다. 또한, 하기의 상세한 설명은 본 발명을 한정하지 않는다. 대신, 발명의 범위는 첨부된 청구항 및 이들의 균등물에 의해 한정된다.
본 발명에 따른 실시는 유전체 핀 구조의 반대 측들에 형성된 단결정 실리콘 핀 구조들을 제공한다. 유전체 핀 구조의 물질은 단결정 실리콘 물질에 상당량의 스트레스를 유발하도록 선택되어, 이동도를 개선한다.
도 1은 본 발명에 따른 실시에서 FinFET 디바이스를 위한 핀 구조를 형성하는 예시적인 공정을 도시한다. 도 2-9는 도 1에 기술된 공정에 따라 제조된 FinFET 디바이스의 예시적인 도면이다. 하나의 FinFET 디바이스의 제조가 이하에서 기술된다. 그러나, 본 명세서에서 설명된 기술은 하나 이상의 FinFET 디바이스를 형성하는데도 동일하게 적용될 수 있음은 당연하다.
도 1 및 도 2에서, 반도체 디바이스의 기판(200) 위에 유전체 핀 구조(210)를 형성함으로써, 공정이 시작된다(단계 105). 일 실시에서, 기판(200)은 실리콘을 포함한다. 본 발명에 따른 대안적인 실시에서, 기판(200)은 게르마늄과 같은 다른 반도체성 물질, 또는 실리콘-게르마늄과 같은 반도체성 물질의 화합물을 포함할 수 있다. 다른 대안적인 실시에서, 기판(200)은 실리콘이나 게르마늄 기판 위에 형성된 옥사이드 층과 같은 절연체를 포함한다. 유전체 핀 구조(210)는 유전체 핀 구조(210)에 인접하게 형성된 이중 핀 구조에서 상당한 인장 스트레스(응력)를 유발하는 유전체 물질을 포함한다. 일 실시에서, 유전체 핀 구조(210)는 옥사이드나 나이트라이드(nitride)를 포함한다.
유전체 핀 구조(210)는 종래 방식으로 형성된다. 예를 들면, 유전체 물질은 대략 200Å 내지 1000Å 범위의 두께로 기판(200) 위에 형성된다. 마스크가 유전체 물질의 일부분 위에 형성되고, 그 다음, 이 유전체 물질은 종래의 방식으로 식각되며, 상기 식각은 기판(200) 상에서 종료되어 유전체 핀 구조(210)를 형성하게 된다. 결과적으로, 유전체 핀 구조(210)는 대략 100Å 내지 1000Å 범위의 폭을 갖는다.
유전체 핀 구조(210)를 형성한 후에, 도 3에 도시된 바와 같이, 비정질 실리콘 층(310)이 반도체 디바이스 위에 증착된다(단계 110). 본 발명에 따른 일 실시에서, 비정질 실리콘 층(310)은 대략 100Å 내지 1000Å 범위의 두께로 증착된다.
그 다음, 도 4에 도시된 바와 같이, 비정질 실리콘층이 종래의 방식으로 식각되며, 이 식각은 기판(200) 상에서 종료되어 비정질 실리콘 스페이서 (핀) 구조(410)를 형성하게 된다(단계 115). 각 비정질 실리콘 핀 구조(410)는 대략 200Å 내지 1000Å 범위의 높이와 100Å 내지 1000Å 범위의 폭을 갖는다.
도 5에 도시된 바와 같이, 유전체 층(510)이 반도체 디바이스 위에 증착된다(단계 120). 본 발명에 따른 일 실시에서, 유전체 층(510)은 대략 200Å 내지 1000Å 범위의 두께로 증착된다. 유전체 층(510)은 옥사이드 또는 다른 유전체 물질을 포함한다.
도 6에 도시된 바와 같이, 반도체 디바이스는 반도체 디바이스의 상면(top surface)을 평탄화하도록 화학적 기계적 연마(CMP)를 통해 연마되어, 각각의 비정질 실리콘 핀 구조(410)의 상면을 노출 시킨다(단계 120). CMP 동안에, 유전체 핀 구조(210)와 비정질 실리콘 핀 구조(410)의 일부 상면이 제거되어, 비정질 실리콘 핀 구조(410) 각각의 상면이 노출된다. 예를 들면, CMP 후에, 핀(210 및 410)의 높이는 대략 150Å 내지 200Å 범위이다.
도 7에 도시된 바와 같이, 니켈(nickel)과 같은 금속 층(710)이 반도체 디바이스 위에 증착된다(단계 125). 일 실시에서, 니켈 층(710)은 대략 20Å의 두께로 증착된다.
그 다음, 금속 유도 결정화(MIC) 작업이 수행된다. 도 8에 도시된 바와 같이, MIC 작업은 몇 시간 동안 대략 500℃ 내지 대략 550℃에서 니켈 층(710)을 어닐링하는 것을 포함하며, 이 어닐링은 니켈을 비정질 실리콘으로 확산하도록 하여, 핀 구조(410)의 비정질 실리콘이 단결정 실리콘(810)으로 변환하도록 한다(단계 130). MIC 작업의 결과, 얇은 니켈 실리콘(NiSi) 화합물(820) 층이 기판(200)과 단결정 실리콘 핀 구조(810) 사이에 형성된다. 일 실시에서, NiSi 층(820)의 두께는 대략 20Å 내지 200Å 범위이다.
단결정 실리콘 핀 구조(810)가 형성된 후에, FinFET 디바이스를 위한 트랜지스터(예컨대, 소스 및 드레인 영역들의 형성), 컨택(contact), 상호연결(interconnect) 및 인터레벨(inter-level) 유전체를 완성하는데, 종래의 FinFET 제조 공정이 이용될 수 있다. 예를 들면, 유전체 층(510)이 제거되고, 실리콘 나이트라이드나 실리콘 옥사이드와 같은 보호 유전체 층이 핀(210 및 810)의 상면 위에 형성되고, 그 다음, 단결정 실리콘 핀 구조(810)의 측면에 게이트 유전체가 형성된다. 그 다음, 소스/드레인 영역이 핀(210 및 810) 각각의 종단에서 형성되고, 하나 이상의 게이트가 형성된다. 예를 들면, 실리콘층, 게르마늄층, 실리콘 및 게르마늄의 화합물, 또는 다양한 금속들이 게이트 물질로 사용될 수 있다. 그 다음, 게이트 물질은 패터닝(pattern)되고 식각되어 게이트 전극을 형성한다. 예를 들면, 도 9는 소스/드레인 영역 및 게이트 전극이 형성된 후에, 본 발명에 따른 반도체 디바이스의 예시적인 상면을 도시한다. 도시된 바와 같이, 반도체 디바이스는 핀(210 및 810), 소스 및 드레인 영역(910 및 920), 그리고 게이트 전극(930 및 940)을 구비한 이중-게이트 구조(double-gate structure)를 포함한다.
그 다음, 소스/드레인 영역(910 및 920)은 엔드 디바이스 필요조건(end device requirement)에 기초하여 n-타입 또는 p-타입 불순물로 도핑된다. 추가로, 특정 회로 필요조건에 기초하여 소스/드레인 정션(junction)의 위치를 제어하기 위해, 소스/드레인 이온 주입 전에 측벽 스페이서가 선택적으로 형성된다. 그 다음, 활성화 어닐링(activation annealing)이 수행되어 소스/드레인 영역(910 및 920)을 활성화한다.
본 발명은 다수의 핀 구조를 형성하는 경우에 대해서 상기에서 기술되었다. 본 발명에 따른 방법은 특정 회로 필요조건에 기초하여, 임의의 개수의 핀을 형성하는데도 사용될 수 있음을 이해해야 한다.
따라서, 본 발명에 따르면, 단결정 실리콘 핀 구조들 사이에 위치한 유전체 핀 구조를 갖는 단결정 실리콘 핀 구조가 형성된다. 유전체 핀 구조의 물질은, 단결정 실리콘 핀 구조에서 상당량의 스트레스(응력)를 유발하도록 선택된다. 결과적으로, 단결정 실리콘 핀 구조에서의 이동도는 증강된다.
도 10-15는 본 발명에 따른 대안적인 실시에서 복수의 핀 구조를 형성하는 예시적인 도면이다. 도 10에서, 기판(1000) 위에 형성된 옥사이드 층(1010)을 포함하는 반도체 디바이스에서부터 공정이 시작된다. 기판(1000)은 실리콘이나 게르마늄과 같은 다른 반도체성 물질, 또는 실리콘-게르마늄과 같은 반도체성 물질들의 화합물을 포함한다. 옥사이드 층(1010)은 대략 200Å 내지 대략 1000Å 범위의 높이를 갖는다.
도 10에 도시된 바와 같이, 옥사이드 층(1010)은 식각되어 트랜치(1020)를 형성한다. 일 실시에서, 트랜치(1020)는 대략 200Å 내지 대략 2000Å 범위의 폭을 갖는다. 그 다음, 도 11과 같이, 비정질 실리콘이 증착되고 식각되어 비정질 실리콘 스페이서(1110)를 형성한다. 비정질 실리콘 스페이서(1110) 각각은 대략 100Å 내지 대략 1000Å 범위의 폭을 갖는다. 도 12에 도시된 바와 같이, 유전체 물질(1210)이 비정질 실리콘 스페이서들(1110) 사이의 갭(gap)에 증착된다. 유전체 물질은 옥사이드 또는 다른 유전체 물질을 포함한다.
도 13에 도시된 바와 같이, 니켈 층(1310)이 비정질 실리콘 스페이서(1110)의 상면에 증착된다. 니켈 층(1310)의 두께는 대략 20Å이다. 그 다음, MIC 작업이 수행된다. 도 14에 도시된 바와 같이, 비정질 실리콘 스페이서(1110)를 단결정 실리콘 핀 구조(1410)로 변환하기 위해, MIC 작업은 몇 시간 동안 대략 500℃ 내지 대략 550℃에서 니켈 층(1310)을 어닐링하는 것을 포함한다. MIC 작업의 결과로서, 얇은 니켈 실리콘(NiSi) 화합물(1420) 층이 기판(1000)과 단결정 실리콘 핀 구조(1410) 사이에 형성된다. 일 실시에서, NiSi 층(1420)의 두께는 대략 20Å 내지 대략 200Å 범위이다.
도 15에 도시된 바와 같이, 옥사이드 층(1010)은 종래의 방식으로 제거된다. 따라서, 스페이서-유도 병합 FET(spacer-induced merged FET)가 생산될 수 있다.
다른 실시에서, 트랜치 양 측면들 사이에서 커플링 효과(coupling effect)를 제공할 수 있는 폭이 좁은(narrow) 트랜치를 생성하는데 스페이서가 사용될 수 있다. 도 16에 도시된 바와 같이, 반도체 디바이스는 기판(도시되지 않음) 위에 형성된 옥사이드 층(1610)을 포함하며, 상기 옥사이드 층 위에는 실리콘 층(1620)이 형성되어 있다. 그 다음, SiN, SiO, 또는 일부 다른 물질과 같은 스페이서 물질이 증착되고 식각되어, 하드 마스크(1640)의 측면에 스페이서(1630)를 형성한다. 그 다음, 도 17에 도시된 바와 같이, 스페이서(1630) 및 하드 마스크(1640)를 마스크로 사용하여, 실리콘 층(1620)을 식각하여 폭이 좁은 트랜치(1710)를 형성한다. 트랜치(1710)는 대략 100Å 내지 대략 1000Å 범위의 폭을 갖는다. 유리하게, 트랜치(1710)는 트랜치(170) 양 측면에 위치한 핀들(1620) 사이의 커플링 효과를 제공한다.
본 발명에 따른 실시들은 유전체 핀 구조의 반대 측들에 형성된 단결정 실리콘 핀 구조들을 제공한다. 유전체 핀 구조의 물질은 단결정 실리콘 물질 내부에 상당량의 스트레스를 유발하도록 선택된다. 이러한 식으로, 이동도가 개선된다.
본 발명의 예시적인 실시예들의 상기 기재는 실예와 설명을 제공할 뿐이며, 완전한 설명을 제공하거나 본 발명을 개시된 명확한 형태로 한정하고자 함이 아니다. 수정 및 변경이 본 명세서의 견지에서 가능하고, 혹은 본 발명의 실시로부터 습득된다. 예를 들면, 본 명세서에서, 본 발명의 완전한 이해를 제공하기 위해, 특정 물질, 구조, 화학물질, 공정, 등과 같은 수많은 특정 세부사항이 열거된다. 그러나, 본 발명은 상기 열거된 특정한 세부사항들 없이도 실시될 수 있다. 다른 경우로, 본 발명의 요지를 불필요하게 모호하게 하지 않기 위해, 잘 알려진 공정 구조는 상세하게 설명되지 않았다. 본 발명의 실시에 있어서, 종래의 증착, 포토리소그래피 및 식각 기술이 사용될 수 있으나, 이러한 기술의 상세한 설명은 본 명세서에서 자세하게 열거하지 않는다.
도 1과 관련하여 기술된 일련의 단계들에도 불구하고, 본 발명에 따른 다른 실시에서 상기 단계들의 순서는 변경될 수 있다. 게다가, 종속적이지 않은 단계들은 동시에 실시될 수 있다.
본 출원의 명세서에서 사용된 그 어떠한 요소, 단계, 혹은 지시도 명시적으로 본 발명에 대해 필수적이거나 본질적이라고 설명되지 않은 이상, 본 발명에 대해 필수 또는 본질적이라고 해석되지 않는다. 또한, 본 명세서에서 사용하는 단수는 하나 이상의 아이템들을 나타내는 복수의 의미를 갖는 것으로 의도된다. 오직 하나의 아이템을 나타내는 경우에는 하나 또는 이와 유사한 용어가 사용된다.
본 발명의 범위는 청구항들 및 이들의 균등물에 의해 한정된다.

Claims (10)

  1. 반도체 디바이스로서:
    유전체 물질을 포함하고, 제 1 측면과 제 2 측면을 구비한 제 1 핀 구조(210)와;
    단결정 실리콘 물질을 포함하고, 상기 제 1 핀 구조(210)의 상기 제 1 측면에 인접하게 형성되는 제 2 핀 구조(810)와;
    단결정 실리콘 물질을 포함하고, 상기 제 1 핀 구조(210)의 상기 제 2 측면에 인접하게 형성되는 제 3 핀 구조(810)와;
    상기 제 1 핀 구조(210), 제 2 핀 구조(810), 및 제 3 핀 구조(810)의 일 종단에 형성된 소스 영역(910)과;
    상기 제 1 핀 구조(210), 제 2 핀 구조(810), 및 제 3 핀 구조(810)의 반대 측 종단에 형성된 드레인 영역(920)과; 그리고
    적어도 하나의 게이트(930 및 940)를 포함하는 것을 특징으로 하는 반도체 디바이스.
  2. 제 1항에 있어서,
    상기 제 1 핀 구조(210)의 폭은 대략 200Å 내지 대략 1000Å 범위인 것을 특징으로 하는 반도체 디바이스.
  3. 제 1항에 있어서,
    상기 유전체 물질은 옥사이드와 나이트라이드 중 하나를 포함하는 것을 특징으로 하는 반도체 디바이스.
  4. 제 1항에 있어서,
    상기 제 2 핀 구조(81)와 제 3 핀 구조(810) 각각의 폭은 대략 100Å 내지 대략 1000Å의 범위인 것을 특징으로 하는 반도체 디바이스.
  5. 기판(200)과 이 기판(200) 위에 형성된 유전체 층(210)을 포함하는 반도체 디바이스를 제조하는 방법에 있어서:
    제 1 핀 구조(210)를 형성하기 위해 상기 유전체 층(210)을 식각하는 단계와;
    비정질 실리콘 층(310)을 증착하는 단계와;
    상기 제 1 핀 구조(210)의 제 1 측면에 인접하게 제 2 핀 구조(410)를 형성하고, 상기 제 1 핀 구조(210)의 제 2 반대 측면에 인접하게 제 3 핀 구조(410)를 형성하기 위해, 상기 비정질 실리콘 층(310)을 식각하는 단계와;
    적어도 상기 제 2 핀 구조(410)와 상기 제 3 핀 구조(410)의 상면들에 금속 층(710)을 증착하는 단계와;
    상기 제 2 및 제 3 핀 구조들(410) 내의 상기 비정질 실리콘을 단결정 실리콘 물질로 변환하기 위해, 금속 유도 결정화 작업을 수행하는 단계와;
    소스 영역(910) 및 드레인 영역(920)을 형성하는 단계와;
    상기 제 1, 제 2, 및 제 3 핀 구조들(210 및 810) 위에 게이트 물질을 증착하는 단계와; 그리고
    적어도 하나의 게이트 전극들(930 및 940)을 형성하기 위해, 상기 게이트 물질을 패터닝하고 식각하는 단계를 포함하는 것을 특징으로 하는 반도체 디바이스를 제조하는 방법.
  6. 제 5항에 있어서,
    상기 유전체 층(210)은 옥사이드와 나이트라이드 중 적어도 하나를 포함하는 것을 특징으로 하는 반도체 디바이스를 제조하는 방법.
  7. 반도체 디바이스로서:
    단결정 실리콘 물질을 포함하는 제 1 핀 구조(810)와;
    단결정 실리콘 물질을 포함하는 제 2 핀 구조(810)와; 그리고
    상기 제 1 핀 구조(810)와 상기 제 2 핀 구조(810) 사이에 위치하고, 유전체 물질을 포함하는 제 3 핀 구조(210)를 포함하며,
    상기 제 3 핀 구조(210)는 상기 제 1 핀 구조(810)와 상기 제 2 핀 구조(810)의 상기 단결정 물질에서 스트레스를 유발하도록 하는 것을 특징으로 하는 반도체 디바이스.
  8. 제 7항에 있어서,
    상기 제 1 핀 구조(810)와 상기 제 2 핀 구조(810) 각각의 폭은 대략 100Å 내지 대략 1000Å의 범위인 것을 특징으로 하는 반도체 디바이스.
  9. 제 8항에 있어서,
    상기 제 3 핀 구조(210)의 폭은 대략 100Å 내지 대략 1000Å의 범위인 것을 특징으로 하는 반도체 디바이스.
  10. 제 9항에 있어서,
    상기 유전체 물질은 옥사이드와 나이트라이드 중 적어도 하나를 포함하는 것을 특징으로 하는 반도체 디바이스.
KR1020057018827A 2003-04-03 2004-03-29 FinFET 디바이스 구조의 형성 방법 KR101070845B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/405,343 US6762448B1 (en) 2003-04-03 2003-04-03 FinFET device with multiple fin structures
US10/405,343 2003-04-03
PCT/US2004/009696 WO2004093197A2 (en) 2003-04-03 2004-03-29 Method for forming structures in finfet devices

Publications (2)

Publication Number Publication Date
KR20050118717A true KR20050118717A (ko) 2005-12-19
KR101070845B1 KR101070845B1 (ko) 2011-10-10

Family

ID=32681857

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057018827A KR101070845B1 (ko) 2003-04-03 2004-03-29 FinFET 디바이스 구조의 형성 방법

Country Status (8)

Country Link
US (2) US6762448B1 (ko)
JP (1) JP5009611B2 (ko)
KR (1) KR101070845B1 (ko)
CN (1) CN100413038C (ko)
DE (1) DE112004000586B4 (ko)
GB (1) GB2417829B (ko)
TW (1) TWI384614B (ko)
WO (1) WO2004093197A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101320137B1 (ko) * 2012-03-20 2013-10-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트 스트레서를 구비한 finfet

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US7105894B2 (en) * 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
US6900502B2 (en) 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6909147B2 (en) * 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US6940705B2 (en) 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
JP3860582B2 (ja) * 2003-07-31 2006-12-20 株式会社東芝 半導体装置の製造方法
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6962843B2 (en) * 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7498225B1 (en) * 2003-12-04 2009-03-03 Advanced Micro Devices, Inc. Systems and methods for forming multiple fin structures using metal-induced-crystallization
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
KR100605104B1 (ko) * 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP2006128494A (ja) * 2004-10-29 2006-05-18 Toshiba Corp 半導体集積回路装置及びその製造方法
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
KR100629604B1 (ko) * 2004-12-31 2006-09-27 동부일렉트로닉스 주식회사 반도체 소자의 게이트 라인 형성 방법
TWI295506B (en) 2005-02-03 2008-04-01 Samsung Electronics Co Ltd Semiconductor device having transistor with vertical gate electrode and method of fabricating the same
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US20070018239A1 (en) 2005-07-20 2007-01-25 International Business Machines Corporation Sea-of-fins structure on a semiconductor substrate and method of fabrication
KR100642384B1 (ko) * 2005-09-15 2006-11-03 주식회사 하이닉스반도체 반도체 메모리소자의 트랜지스터 및 그 제조방법
US7400031B2 (en) * 2005-09-19 2008-07-15 International Business Machines Corporation Asymmetrically stressed CMOS FinFET
FR2895835B1 (fr) * 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
US8354311B2 (en) 2006-04-04 2013-01-15 Micron Technology, Inc. Method for forming nanofin transistors
US7491995B2 (en) 2006-04-04 2009-02-17 Micron Technology, Inc. DRAM with nanofin transistors
US8734583B2 (en) * 2006-04-04 2014-05-27 Micron Technology, Inc. Grown nanofin transistors
US7425491B2 (en) 2006-04-04 2008-09-16 Micron Technology, Inc. Nanowire transistor with surrounding gate
US7538391B2 (en) * 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
FR2921757B1 (fr) * 2007-09-28 2009-12-18 Commissariat Energie Atomique Structure de transistor double-grille dotee d'un canal a plusieurs branches.
JP5193583B2 (ja) * 2007-12-17 2013-05-08 株式会社東芝 フィン型トランジスタ
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
CN102263131B (zh) * 2010-05-25 2013-05-01 中国科学院微电子研究所 一种半导体器件及其形成方法
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
CN102956700B (zh) * 2011-08-30 2015-06-24 中国科学院微电子研究所 一种半导体结构及其制造方法
CN103378129B (zh) * 2012-04-19 2016-03-23 中国科学院微电子研究所 一种半导体结构及其制造方法
US8987790B2 (en) 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US8877588B2 (en) * 2013-02-11 2014-11-04 Globalfoundries Inc. Methods of forming a three-dimensional semiconductor device with a dual stress channel and the resulting device
US9396931B2 (en) 2013-08-01 2016-07-19 Qualcomm Incorporated Method of forming fins from different materials on a substrate
US9548213B2 (en) 2014-02-25 2017-01-17 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US9123627B1 (en) * 2014-05-01 2015-09-01 Globalfoundries Inc. Methods of forming alternative material fins with reduced defect density for a FinFET semiconductor device
US9224605B2 (en) * 2014-05-01 2015-12-29 Globalfoundries Inc. Forming alternative material fins with reduced defect density by performing an implantation/anneal defect generation process
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
US9847329B2 (en) * 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9805991B2 (en) * 2015-08-20 2017-10-31 International Business Machines Corporation Strained finFET device fabrication
US9722052B2 (en) 2015-10-27 2017-08-01 International Business Machines Corporation Fin cut without residual fin defects
US10103246B2 (en) 2016-06-09 2018-10-16 International Business Machines Corporation Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges
US9773870B1 (en) 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US9680019B1 (en) * 2016-07-20 2017-06-13 Globalfoundries Inc. Fin-type field-effect transistors with strained channels
US10559501B2 (en) * 2016-09-20 2020-02-11 Qualcomm Incorporated Self-aligned quadruple patterning process for Fin pitch below 20nm
FR3089343B1 (fr) * 2018-11-29 2021-10-08 Commissariat Energie Atomique Procede de realisation d’un transistor fet
US11011626B2 (en) 2019-05-07 2021-05-18 International Business Machines Corporation Fin field-effect transistor with reduced parasitic capacitance and reduced variability
US11476356B2 (en) * 2020-05-29 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device with low-dimensional material and method

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0214578A (ja) 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US5115289A (en) * 1988-11-21 1992-05-19 Hitachi, Ltd. Semiconductor device and semiconductor memory device
JP3202223B2 (ja) * 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
JPH04250667A (ja) * 1991-01-28 1992-09-07 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
JP2789931B2 (ja) * 1991-05-27 1998-08-27 日本電気株式会社 半導体装置
JPH04354138A (ja) * 1991-05-31 1992-12-08 Oki Electric Ind Co Ltd Mis型半導体装置の製造方法
KR920022546A (ko) * 1991-05-31 1992-12-19 김광호 모오스 트랜지스터의 구조 및 그 제조방법
JP2572003B2 (ja) 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JP3203048B2 (ja) * 1992-04-21 2001-08-27 三菱電機株式会社 半導体装置およびその製造方法
DE19548056C1 (de) 1995-12-21 1997-03-06 Siemens Ag Verfahren zur Herstellung einer Gateelektrode für eine MOS-Struktur
US5946566A (en) 1996-03-01 1999-08-31 Ace Memory, Inc. Method of making a smaller geometry high capacity stacked DRAM device
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5932911A (en) 1996-12-13 1999-08-03 Advanced Micro Devices, Inc. Bar field effect transistor
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6177299B1 (en) * 1998-01-15 2001-01-23 International Business Machines Corporation Transistor having substantially isolated body and method of making the same
JP4436469B2 (ja) * 1998-09-30 2010-03-24 三洋電機株式会社 半導体装置
JP2001185721A (ja) * 1999-12-22 2001-07-06 Nec Corp 半導体装置
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6664143B2 (en) * 2000-11-22 2003-12-16 North Carolina State University Methods of fabricating vertical field effect transistors by conformal channel layer deposition on sidewalls
US6358827B1 (en) 2001-01-19 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6537880B1 (en) 2001-09-13 2003-03-25 Vanguard International Semiconductor Corporation Method of fabricating a high density NAND stacked gate flash memory device having narrow pitch isolation and large capacitance between control and floating gates
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
EP1383164A1 (en) * 2002-07-17 2004-01-21 Interuniversitair Micro-Elektronica Centrum (IMEC) FinFET device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6864519B2 (en) 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6794718B2 (en) * 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101320137B1 (ko) * 2012-03-20 2013-10-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 금속 게이트 스트레서를 구비한 finfet
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US9054213B2 (en) 2012-03-20 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US9240484B2 (en) 2012-03-20 2016-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor

Also Published As

Publication number Publication date
TW200501393A (en) 2005-01-01
JP5009611B2 (ja) 2012-08-22
GB2417829B (en) 2006-08-30
US20040198031A1 (en) 2004-10-07
JP2006522488A (ja) 2006-09-28
GB2417829A (en) 2006-03-08
GB0521181D0 (en) 2005-11-23
WO2004093197A3 (en) 2005-02-10
KR101070845B1 (ko) 2011-10-10
TWI384614B (zh) 2013-02-01
DE112004000586B4 (de) 2010-04-08
CN1768419A (zh) 2006-05-03
US6762448B1 (en) 2004-07-13
WO2004093197A2 (en) 2004-10-28
CN100413038C (zh) 2008-08-20
DE112004000586T5 (de) 2006-02-16
US6852576B2 (en) 2005-02-08

Similar Documents

Publication Publication Date Title
KR101070845B1 (ko) FinFET 디바이스 구조의 형성 방법
US6645797B1 (en) Method for forming fins in a FinFET device using sacrificial carbon layer
US6709982B1 (en) Double spacer FinFET formation
KR101066975B1 (ko) 3중 게이트 및 게이트 어라운드 mosfet 디바이스, 및그 제조 방법
US6787439B2 (en) Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6872647B1 (en) Method for forming multiple fins in a semiconductor device
KR101060279B1 (ko) 이중 및 삼중 게이트 mosfet 디바이스들과 이들의제조 방법
US6706571B1 (en) Method for forming multiple structures in a semiconductor device
US8008136B2 (en) Fully silicided gate structure for FinFET devices
TWI485848B (zh) 半導體裝置及其製造方法
KR101112046B1 (ko) 자기 정렬된 다마신 게이트
US6998301B1 (en) Method for forming a tri-gate MOSFET
US20040126975A1 (en) Double gate semiconductor device having separate gates
KR101066271B1 (ko) 얇아진 바디를 갖는 좁은 바디의 다마신 3중 게이트 핀펫
KR20050119679A (ko) FinFET 디바이스에 게이트를 형성하고 FinFET디바이스의 채널 영역의 핀을 가늘게 하는 방법
JP2007501524A (ja) 全体的な設計目標を達成すべく、半導体デバイス中のキャリア移動度の可変な半導体デバイス
US6876042B1 (en) Additional gate control for a double-gate MOSFET
US7498225B1 (en) Systems and methods for forming multiple fin structures using metal-induced-crystallization
US6255182B1 (en) Method of forming a gate structure of a transistor by means of scalable spacer technology
US7091068B1 (en) Planarizing sacrificial oxide to improve gate critical dimension in semiconductor devices
US7105391B2 (en) Planar pedestal multi gate device
US7112847B1 (en) Smooth fin topology in a FinFET device
KR20240112195A (ko) 활성 영역들에 격리 구조체들을 갖는 디바이스
CN114664944A (zh) 半导体结构及其形成方法
CN118335738A (zh) 在有源区中具有隔离结构的器件

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150827

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160831

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190829

Year of fee payment: 9