KR20000052627A - 고 유전율 실리케이트 재료의 화학 기상 증착 방법 - Google Patents

고 유전율 실리케이트 재료의 화학 기상 증착 방법 Download PDF

Info

Publication number
KR20000052627A
KR20000052627A KR1019990065774A KR19990065774A KR20000052627A KR 20000052627 A KR20000052627 A KR 20000052627A KR 1019990065774 A KR1019990065774 A KR 1019990065774A KR 19990065774 A KR19990065774 A KR 19990065774A KR 20000052627 A KR20000052627 A KR 20000052627A
Authority
KR
South Korea
Prior art keywords
gas
dielectric constant
high dielectric
group
source
Prior art date
Application number
KR1019990065774A
Other languages
English (en)
Inventor
윌크글렌디.
Original Assignee
윌리엄 비. 켐플러
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 비. 켐플러, 텍사스 인스트루먼츠 인코포레이티드 filed Critical 윌리엄 비. 켐플러
Publication of KR20000052627A publication Critical patent/KR20000052627A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

반도체 기판 위에 전자 장치를 제작하는 방법은, 반도체 기판 위에 도전성 구조를 형성하는 단계 (도 1의 단계 106); 및 도전성 구조와 반도체 기판 사이에 고 유전율 재료의 층을 형성하는 단계 (도 1의 단계 102)를 포함하며, 기상 실리콘 소스 및 제2 기상 재료 (Hf, Zr, La, Y, Sc, Ce 및 이들의 임의의 조합을 포함하는 군으로부터 선택되는 재료로 이루어짐)를 공급함으로써, 고 유전율 재료의 층이 형성된다.

Description

고 유전율 실리케이트 재료의 화학 기상 증착 방법{CHEMICAL VAPOR DEPOSITION OF SILICATE HIGH DIELECTRIC CONSTANT MATERIALS}
본 발명은 반도체 장치 제조 및 그 공정에 관한 것이며, 특히 실리케이트를 사용하는 고 유전율 재료의 제작 방법에 관한 것이다.
반도체 장치 제작 공정의 경향은 장치를 축소하여 주어진 영역에 더 많은 장치가 제작되도록 하는 것이다. 이 축소는 실질적으로 장치 모두에 영향을 끼쳐서, 각 부분이 축소된다. 용량이 커패시터의 두 판과 유전체 재료의 유효 면적간에 위치한 재료의 유전율에 비례하기 때문에, 이는 특히 게이트 구조와 커패시터에서 문제가 된다. 또한, 구조의 용량은 구조의 두 전극간의 거리에 역비례한다. 현재, SiO2가 게이트 유전체 재료로 선택되기 때문에, 이 층의 두께가 감소하여 커패시터 면적의 축소를 보상한다. 그런데, 이 산화층의 두께 감소는 몇 가지 이유에서 문제가 된다. 첫째, 이산화 실리콘층의 두께가 3 nm 이하로 감소되기 때문에, 산화층을 통한 누수가 과도하게 커진다. 또한, 산화막이 게이트 전극으로 유입되는 불순물을 가두는 효과적인 장벽으로 작용하지 않게 되어 채널 영역으로부터의 게이트 전극의 도전성을 증가시킨다. 둘째, 매우 얇은 층은, 자기 제한적인 공정으로부터 형성되지 않는다면, 재생될 수 있도록 제작되기가 매우 어렵다. 셋째, 얇은 층, 특히 게이트 절연체를, 다른 구조를 에칭하는 후속 공정을 사용하여 에칭하는 것은, 두꺼운 층보다 얇은 층에서 더 많은 부분이 제거되기 때문에, 두꺼운 층보다 얇은 층에 더 극적으로 영향을 미친다.
이 문제를 해결하기 위한 다른 접근 방법으로서, 게이트 절연 재료를 더 높은 유전율의 재료로 바꾸는 것이 있다. 예를 들어, BST, PZT, TiO2및 Ta2O5가 차세대 게이트 절연체로 간주되고 있다. 그런데, 이들 재료를 효과적인 게이트 유전체 재료로 만들기 위해 필요한 공정이 표준 트랜지스터 구조의 공정과 상충하기 때문에, 이들 재료는 각각 문제점을 노출한다. 더 구체적으로, 이들 재료 각각은 일반적으로 산소 대기에서 고온 어닐링함이 필요하여, 이 어닐링으로 인해, 아래의 기판 및 임의의 다른 노출된 산화가능한 구조를 매우 열화시킨다.
따라서 이산화 규소보다 고 유전율이고 (ε3.9) 표준 게이트 구조 공정 기술을 사용하여 처리하기가 비교적 용이한 새로운 재료가 사용될 필요가 있다.
기본적으로, 본 발명은, 게이트 유전체로서 산화층 또는 실리케이트층을 포함하는 게이트 구조 및 화학 기상 성장법(CVD)을 이용하여 이러한 구조를 제작하는 방법을 포함한다. 더 구체적으로, 본 발명의 게이트 절연체는, 바람직하게는 ZrSiOX또는 HfSiOX(여기서 0<X<4)로 이루어지지만, ZrO2또는 HfO2로도 이루어진다. 바람직하게는, 게이트 절연체는 대략 10에서 40 (더 바람직하게는 15에서 30)의 유전율을 갖는다. 다른 실시예에서, 본 발명의 유전체층은 커패시터 유전체로서 사용될 수 있다.
반도체 기판 위에 전자 장치를 제작하는 방법은, 반도체 기판 위에 도전성 구조를 형성하는 단계; 및 도전성 구조와 반도체 기판 사이에 고 유전율 재료를 형성하고, 기상 실리콘 소스 및 제2 기상 재료 (Hf, Zr, La, Y, Sc, Ce 및 이들의 임의의 조합을 포함하는 군으로부터 선택되는 재료로 이루어짐)를 공급하여 고 유전율 재료의 층이 형성되는 단계를 포함한다. 다른 실시예에서, 기체 산소 소스가 또한 공급된다. 또한 본 발명의 방법은 전자 장치를 임의의 대기에서 섭씨 600에서 900도의 온도로 두는 단계를 포함한다. 바람직하게는, 어닐링 단계의 대기는 O2, O3, N2, H2, NH3및 이들의 임의의 조합으로 이루어진다. 기상 실리콘 소스는, 바람직하게는, 실란, 디실란, 디클로로실란, 및 이들의 임의의 조합으로 이루어지고, 또한 캐리어 가스 (He, N2, Ar, 및 Ne)를 포함할 수 있다. 바람직하게는, 제2 기상 재료는 Zr(OC4H9)4, Hf(OC4H9)4, Zr(NO3)4, Hf(NO3)4, ZrCl4, HfCl4, ZrI4, HfI4, ZrBr4, HfBr4, Zr2(OPri)6(tmhd)2, Hf2(OPri)6(tmhd)2, 및 이들의 임의의 조합으로 이루어진다. 전자 장치는 커패시터 또는 트랜지스터일 수 있다.
본 발명의 또 다른 실시예는 반도체 기판 위에 고 유전율 재료를 제작하는 방법이며, 이 방법은, 챔버 내에 기상 실리콘 소스를 제공하는 단계; 및 챔버 내에 제2 기체 소스를 제공하고, 제2 기체 소스는 Hf, Zr, La, Y, Sc, Ce 및 이들의 임의의 조합을 포함하는 군으로부터 선택된 재료로 이루어지는 단계를 포함한다. 본 발명의 방법은 임의의 대기에서 섭씨 600에서 900도 온도에 고 유전율 재료를 두는 단계를 더 포함한다. 바람직하게는, 어닐링 대기는 O2, O3, N2, H2, NH3, 및 이들의 임의의 조합으로 이루어진다. 기상 실리콘 소스는, 바람직하게는, 실란, 디실란, 디클로로실란, 및 이들의 임의의 조합으로 이루어지고, 캐리어 가스 (He, N2, Ar, 및 Ne)를 포함할 수 있다. 바람직하게는, 제2 기상 재료는 Zr(OC4H9)4, Hf(OC4H9)4, Zr(NO3)4, Hf(NO3)4, ZrCl4, HfCl4, ZrI4, HfI4, ZrBr4, HfBr4, Zr2(OPri)6(tmhd)2, Hf2(OPri)6(tmhd)2, 및 이들의 임의의 조합으로 이루어진다. 제2 기상 소스는 산소 소스를 포함할 수 있다.
도 1은 본 발명의 제1 실시예의 방법을 예시하는 흐름도.
도 2a 내지 2c는 도 1에 예시된 본 발명의 방법을 사용하여 부분적으로 제작된 장치의 단면도.
<도면 부호의 주요 부분에 대한 설명>
202 : 반도체 기판
204 : 절연 구조(isolation structure)
206 : 유전체층
본 발명의 다음 설명은 도 1의 방법 및 도 2a 내지 2c의 장치 구조에 중점을 두었지만, 본 발명은 금속 게이트 또는 임의의 다른 유형의 게이트 구조와 함께 사용될 수 있고, 디스포저블 게이트(disposable gate)를 사용하거나 (참조로 포함된 경우에 예시된 바와 같음) 도시된 바와 같은 표준 공정 순서를 사용하여 제작될 수 있다. 본 발명의 유전체층은, 또한, 본 명세서에 참조로써 포함된, 계류 중인 미국 특허 공보 _______ (TI 사에 양도되었고 분류 번호 TI-24776P임)에 예시된 바와 같은 디스포저블 게이트 구조 공정 순서 내의 게이트 유전체로서 사용될 수 있다. 또한, 본 발명의 방법 및 그에 따라 형성된 유전체층이 커패시터의 두 전극간에서 유전체로서 사용될 수 있다.
선행 공정이 본 발명의 방법 이전에 수행될 수 있다. 이 선행 공정은 웨이퍼(202) 표면의 세정, 절연 영역(204)의 형성, 및 웨이퍼 일부의 도핑을 포함할 수 있다. 절연 구조(204)가 쉘로우 트렌치 절연 구조(shallow trench isolation structure; STI)로서 도 2a 내지 c에 도시되어 있으나, 어떤 유형의 절연 구조도 사용될 수 있다. 절연 구조의 예로서, LOCOS, STI, 및 접합 절연 구조를 포함한다.
대부분의 표준 공정 체제에서, 절연 구조의 형성 및 기판 불순물의 주입에 선행하여, 얇은 산화층을 웨이퍼 상에 성장시킨다. 얇은 산화층이 사용되면, 바람직하게는, 단계 102 이전에 제거될 것이다. 바람직하게는, 얇은 산화층의 제거는 산화 에칭 또는 디글레이즈(deglaze) 단계에서 수행된다. 이 공정은, 바람직하게는, 실질적으로 절연 구조(204)에 영향을 미치지 않으면서 보호 산화층을 제거하기 위해 웨이퍼를 HF 솔루션에 주입하는 것을 포함한다.
도 1의 단계 102 및 도 2a를 참조하여, 층(206)이 기판 전체에 걸쳐 형성된다. 단계 102에서, 층(206)은 절연 구조 상에 형성되지 않을 수 있고 (도 2a에 도시됨), 바람직하게는 마스킹 처리에 의해, 절연 구조(204)로부터 선택적으로 제거되거나, 절연 구조(204) 상에 형성되어 (도시 생략) 유지될 수 있다. 바람직하게는, 층(206)은, 천이 금속 (Hf, Zr, La, Y, Sc, 및/또는 Ce 등과 같음), 실리콘 [층(208)이 실리케이트일 때임] 및 잠재적으로 산소 및/또는 질소로 구성될 수 있다. 바람직하게는 층(206)은 HfSiOX, ZrSiOX, LaSiOX, YSiOX, ScSiOX, CeSiOX, Hf, HfSi2, Zr, ZrSi2, La, LaSiX, Y, YSiX, Sc, ScSiX, Ce, 또는 CeSiX로 구성될 수 있고, 바람직하게는 4에서 10 nm 두께 (더 바람직하게는 4에서 6 nm 두께)이다.본 발명의 방법을 사용하여, 층(206)이 화학 기상 성장법으로 형성된다. 본 발명의 몇가지 실시예들은 본 발명의 실리케이트층을 형성하기 위해 사용될 수 있다.
다음 각 실시예에서, 기호 M은 Hf 또는 Zr 또는 Hf 및 Zr과 유사한 원하는 성질을 갖는 금속 (위에 열거한 La, Y, Sc 또는 Ce 등임)을 지칭한다. 각 실시예에서는 캐리어 가스 (헬륨, 질소, 아르곤, 네온, 혹은 이들의 임의의 결합)와 결합되거나 혹은 그렇지 않은 프리커서(precursor)를 이용한다. 바람직하게는 본 실시예의 프리커서는 금속 소스 M, 실리콘 소스 (바람직하게는 실란, 디실란, 및/또는 디클로로실란)로 이루어질 수 있으며, 산소 및/또는 질소 소스로 이루어질 수도 있다. 산소 소스는 O2, O3또는 플라즈마 소스 같은 다른 산소 소스일 수 있다. 산소 및/또는 질소 소스가 프리커서에 제공되지 않는다면, 산소 및/또는 질소를 층(206)에 통합하기 위해 어닐링 단계 104가 수행될 수 있다.
본 발명의 실시예에서, 기상의 실란, 디실란 또는 디클로로실란 (캐리어 가스와 함께 - 바람직하게는 10% 정도 혼합함)과 함께 기상의 M(NO3)4조합이 챔버 내로 주입된다. 바람직하게는, M(NO3)4의 유속은 대략 5에서 20 sccm (더 바람직하게는 10 sccm 정도임)이고 실란 (및 캐리어 가스)의 유속은 대략 1에서 20 sccm (더 바람직하게는 1에서 10 sccm 정도임) 이다. 챔버의 대기 온도는 대략 섭씨 60에서 120도 (더 바람직하게는 섭씨 70도 정도임) 이고, 기판 온도는 대략 섭씨 200에서 600도 (더 바람직하게는 섭씨 300에서도 정도임)이다. 앞서 설명한 본 발명의 장점은 탈탄소 및 과도한 물을 덜 형성할 것 같다는 데 있다.
본 발명의 다른 실시예에서, 가스 형태로 금속과 결합한 t형의 부탄산화물 M(OC4H9)이 (바람직하게는 캐리어 가스와 함께) 가스 형태의 실란과 함께 챔버 내에 제공된다 (바람직하게는 캐리어 가스와 함께- 바람직하게는 10% 캐리어 가스와 90% 실란). 바람직하게는, M(OC4H9)의 유속은 5 내지 15 sccm (좀 더 바람직하게는 대략 10 sccm)이고 실란 (및 캐리어 가스)의 유속은 대략 1 내지 20 sccm (좀 더 바람직하게는 대략 1 내지 10 sccm)이다. 챔버의 대기 온도는 바람직하게는 60 내지 120C (좀더 바람직하게는 70 C)이고 기판 온도는 바람직하게는 400 내지 700 C (좀 더 바람직하게는 450 C 내지 600 C)이다.
본 발명의 다른 실시예에서, 금속 가스 소스는 MCl4, MI4, 또는 MBr4를 포함할 수 있다. 실리콘 가스 소스는 실란, 디실란, 디클로로실란을 포함할 수 있고 He, Ar, N2또는 NE등의 캐리어 가스를 더 포함할 수 있다. 또한, (O2또는 O3등의) 산소 가스 소스가 포함될 수 있고 또는 산소 또는 오존 분위기에서 후속하여 (선택적 어닐링 단계(104)에서와 같은) 어닐링을 실시할 수 있다. 이러한 공정 동안의 기판 온도는 바람직하게는 대개 200 내지 600 C (좀더 바람직하게는 300 내지 500 C)이다. 염소 및 브롬의 반응성이 매우 강하고, 염소가 부식성이 있기 때문에, 이 가스중 하나를 사용한다면, 비강철 반응기 (바람직하게는 석영 반응기)를 사용해야 한다.
본 발명의 다른 실시예에서, 금속 가스 소스는 M2(OPri)(tmhd)2를 포함하고 실리콘 소스는 바람직하게는 실란, 디실란, 또는 디클로로실란을 포함한다. 바람직하게는, 이러한 공정 동안의 기판 온도는 대략 400 내지 700 C (좀더 바람직하게는 450 내지 600 C)이다. 산소 소스가 사용될 수 있거나 산소 또는 오존 분위기에서 어닐링을 수행할 수 있다 (단계 104 등).
도 1 및 도 2b의 단계(104)를 참조하여, 실리콘과 전이 금속의 조성물을 포함하는 층(206)의 전기적 특성을 개선하기 위해, 또는 좀 더 바람직하게는 이미 존재하는 규소층의 품질을 개선하기 위해 어닐링이 수행된다. 예를 들어, 층(206)이 Hf, HfSi2, Zr 또는 ZrSi2을 포함한다면 각각 HfOX, HfSiOX, ZrOX, 또는 ZrSiOx로 또는 좀 더 바람직하게는 층이 이미 HfSiOX라면, 가스 (바람직하게는 90% N2: 10% H2를 사용) 형성 시 어닐링 공정에서 규소막에서의 결함을 제거하게 되므로써, 층의 전기적 특성을 개선한다. 대안적으로, 산소 함유 대기에서의 어닐링은 x값을 증가시킴으로써 규소의 산소 함유량을 증가시킬 것이다. 바람직하게는, 어닐링 공정(104)은 350 내지 500 C 온도 (좀더 바람직하게는 450 C)에서 90% N2대 10% H2분위기에서 10 내지 30분 동안 (좀 더 바람직하게는 30분); 대략 400 내지 900 C 온도의 O2분위기에서 15 내지 60초동안 (바람직하게는 30초); 25 내지 400 C 온도에서 O3분위기에서; 또는 500 내지 600 C 온도에서 N2또는 NH3중 어느 하나로 수행된다. 최선의 결과를 주도록 하는 나머지 온도 및 분위기의 조합을 사용할 수도 있다. 바람직하게는, 층(206)은 어닐링 공정(104)에서 산소 함유 및/또는 질소 함유 대기에서 10 내지 120초 동안 (좀 더 바람직하게는 20 내지 45초 정도- 더 바람직하게는 30초 정도)의 상승된 온도에서 처리된다.
도 1 및 2c의 공정(106)을 참조하면, 도전 게이트 전극층(210)이 형성된다. 바람직하게는, 층(210)은 다결정 실리콘, 다결정 실리콘 게르마늄, 도핑된 다결정 실리콘, 도핑된 다결정 실리콘 게르마늄, 텅스텐, 티타늄, 텅스텐 질화물, 티타늄 질화물, 백금, 알루미늄, 상기 하나 이상을 포함하여 적층된 이들의 조성물을 포함한다. 층(210)은 바람직하게는 기본적인 반도체 공정 단계를 사용하여 형성되고 기준 트랜지스터 형성에 공통적으로 사용된 두께로 된다.
상술한 바와 같이, 본 발명에 따르면, 어닐링으로 인해 아래의 기판 및 임의의 다른 노출된 산화가능한 구조를 열화시키지 않도록, 실리케이트를 사용하여 고 유전율 재료를 제작할 수 있다.
상기에서 본원 발명의 특정한 실시예를 설명하였다 하더라도, 이것이 본 발명의 범주를 제한하는 것으로 간주되어서는 안된다. 당업자라면 방법론적인 견지에서 다양한 실시예들이 가능함을 알 것이다. 본 발명의 범주는 단지 첨부된 청구범위에 의해서만 한정된다.

Claims (17)

  1. 반도체 기판 상에 전자 장치를 제조하는 방법에 있어서,
    상기 반도체 기판 상에 도전성 구조를 형성하는 단계, 및
    상기 도전성 구조와 상기 반도체 기판 사이에 고유전율 재료층을 형성하는 단계- 상기 고유전율 재료층은 가스 실리콘 소스와, Hf, Zr, La, Y, Sc, Ce 및 그 조성물로 구성된 군으로부터 선택된 재료를 포함하는 제2 가스 재료를 공급함으로써 형성됨-
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 고유전율 재료층은 가스 산소 소스를 공급함으로써 형성되는 방법.
  3. 제1항에 있어서, 상기 전자 장치를 600 및 900 C 사이의 분위기에서 처리하는 방법.
  4. 제3항에 있어서, 상기 분위기는 O2, O3, N2, H2, NH3, 및 그 조성물로 구성된 군으로부터 선택된 가스를 포함하는 방법.
  5. 제1항에 있어서, 상기 가스 실리콘 소스는 실란, 디실란, 디클로로실란, 및 그 조성물로 구성된 군으로부터 선택된 가스를 포함하는 방법.
  6. 제5항에 있어서, 상기 가스 실리콘 소스는 캐리어 가스(carrier gas)를 포함하는 방법.
  7. 제6항에 있어서, 상기 캐리어 가스는 He, N2, Ar, 및 Ne로 구성된 군으로부터 선택된 가스를 포함하는 방법.
  8. 제1항에 있어서, 상기 제2 가스 재료는 Zr(OC4H9)4, Hf(OC4H9)4, Zr(NO3)4, Hf(NO3)4, ZrCl4, HfCl4, ZrI4, HfI4,ZrBr4, HfBr4, Zr2(OPri)6(tmhd)2, Hf2(OPri)6(tmhd)2및 그 조성물로 구성된 가스를 포함하는 방법.
  9. 제1항에 있어서, 상기 전자 장치는 캐패시터 또는 트랜지스터인 방법.
  10. 반도체 기판 상에 고유전율 재료를 제조하는 방법에 있어서,
    챔버 내에 실리콘 가스 소스를 제공하는 단계, 및
    상기 챔버 내에 제2 가스 소스를 제공하는 단계- 상기 제2 가스 소스는 Hf, Zr, La, Y, Sc, Ce 및 그 조성물로 구성된 군으로부터 선택된 재료를 포함함-
    를 포함하는 방법.
  11. 제10항에 있어서,
    600 및 900 C 사이의 분위기에서 상기 고유전율 재료를 처리하는 방법.
  12. 제11항에 있어서, 상기 분위기는 O2, O3, N2, H2, NH3, 및 그 조성물로 구성된 군으로부터 선택된 가스를 포함하는 방법.
  13. 제10항에 있어서, 상기 실리콘 가스 소스는 실란, 디실란, 디클로로실란, 및 그 조성물로 구성된 군으로부터 선택된 가스를 포함하는 방법.
  14. 제13항에 있어서, 상기 실리콘 가스 소스는 캐리어 가스를 포함하는 방법.
  15. 제14항에 있어서, 상기 캐리어 가스는 He, N2, Ar 및 Ne로 구성된 군으로부터 선택된 가스를 포함하는 방법.
  16. 제10항에 있어서, 상기 재료는 Zr(OC4H9)4, Hf(OC4H9)4, Zr(NO3)4, Hf(NO3)4, ZrCl4, HfCl4, ZrI4, HfI4,ZrBr4, HfBr4, Zr2(OPri)6(tmhd)2, Hf2(OPri)6(tmhd)2및 그 조성물로 구성된 가스를 포함하는 방법.
  17. 제1항에 있어서, 상기 제2 가스 소스는 산소를 포함하는 방법.
KR1019990065774A 1999-01-13 1999-12-30 고 유전율 실리케이트 재료의 화학 기상 증착 방법 KR20000052627A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11585899P 1999-01-13 1999-01-13
US60/115,858 1999-01-13
US11961599P 1999-02-11 1999-02-11
US60/119,615 1999-02-11

Publications (1)

Publication Number Publication Date
KR20000052627A true KR20000052627A (ko) 2000-08-25

Family

ID=26813649

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990065774A KR20000052627A (ko) 1999-01-13 1999-12-30 고 유전율 실리케이트 재료의 화학 기상 증착 방법

Country Status (5)

Country Link
US (2) US6544875B1 (ko)
EP (1) EP1028458A3 (ko)
JP (1) JP2000208508A (ko)
KR (1) KR20000052627A (ko)
TW (1) TW440970B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100779468B1 (ko) * 1999-10-07 2007-11-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Zr/Hf 규산염막의 CVD 증착을 위한 조성물 및 방법
KR100869448B1 (ko) * 2001-03-20 2008-11-19 프리스케일 세미컨덕터, 인크. 높은 k를 갖는 유전체 막과 그 제조 방법

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208508A (ja) * 1999-01-13 2000-07-28 Texas Instr Inc <Ti> 珪酸塩高誘電率材料の真空蒸着
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
JP3786566B2 (ja) * 2000-06-27 2006-06-14 株式会社東芝 半導体装置及びその製造方法
KR100639147B1 (ko) * 2001-01-25 2006-10-31 동경 엘렉트론 주식회사 플라즈마 처리 방법
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP3730962B2 (ja) 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
JP3773448B2 (ja) * 2001-06-21 2006-05-10 松下電器産業株式会社 半導体装置
KR100520633B1 (ko) * 2001-06-21 2005-10-11 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
JP2003017687A (ja) * 2001-06-29 2003-01-17 Hitachi Ltd 半導体装置
JP3995082B2 (ja) * 2001-07-18 2007-10-24 日鉱金属株式会社 ゲート酸化膜形成用ハフニウムシリサイドターゲット及びその製造方法
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
JP4034627B2 (ja) * 2001-09-28 2008-01-16 テキサス インスツルメンツ インコーポレイテツド 集積回路及びその製造方法
JP2003124460A (ja) * 2001-10-15 2003-04-25 Atsushi Ogura ゲート酸化膜、素子、ゲート酸化膜形成方法、ゲート酸化膜形成材料
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6818500B2 (en) * 2002-05-03 2004-11-16 Micron Technology, Inc. Method of making a memory cell capacitor with Ta2O5 dielectric
KR100655441B1 (ko) * 2005-09-01 2006-12-08 삼성전자주식회사 트랩형 비휘발성 메모리 장치의 제조 방법
US7927950B2 (en) * 2002-05-07 2011-04-19 Samsung Electronics Co., Ltd. Method of fabricating trap type nonvolatile memory device
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
JP3974547B2 (ja) * 2003-03-31 2007-09-12 株式会社東芝 半導体装置および半導体装置の製造方法
JP2004311782A (ja) * 2003-04-08 2004-11-04 Tokyo Electron Ltd 成膜方法及び成膜装置
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
JP4216707B2 (ja) * 2003-12-25 2009-01-28 株式会社東芝 半導体装置の製造方法
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7601649B2 (en) * 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7550346B2 (en) * 2005-08-03 2009-06-23 Dongbu Electronics Co., Ltd. Method for forming a gate dielectric of a semiconductor device
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
JP5462885B2 (ja) * 2009-12-18 2014-04-02 株式会社日立国際電気 半導体装置の製造方法および基板処理装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147615A (en) 1977-04-12 1979-04-03 Leas Arnold M Hot sand-coal-cracking to hydrodistillate fuels
JPS5861763A (ja) 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4432035A (en) 1982-06-11 1984-02-14 International Business Machines Corp. Method of making high dielectric constant insulators and capacitors using same
US4464701A (en) * 1983-08-29 1984-08-07 International Business Machines Corporation Process for making high dielectric constant nitride based materials and devices using the same
US5874766A (en) 1988-12-20 1999-02-23 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an oxynitride film
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5384729A (en) 1991-10-28 1995-01-24 Rohm Co., Ltd. Semiconductor storage device having ferroelectric film
JPH05239650A (ja) * 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd シリコン酸化膜の製造法
KR950034588A (ko) 1994-03-17 1995-12-28 오가 노리오 탄탈계 고유전체재료 및 고유전체막의 형성방법 및 반도체장치
KR0155785B1 (ko) 1994-12-15 1998-10-15 김광호 핀형 커패시터 및 그 제조방법
US5686748A (en) 1995-02-27 1997-11-11 Micron Technology, Inc. Dielectric material and process to create same
KR0141160B1 (ko) 1995-03-22 1998-06-01 김광호 강유전체 메모리 장치 및 그 제조방법
JPH09153567A (ja) 1995-09-28 1997-06-10 Toshiba Corp 高熱伝導性窒化珪素回路基板および半導体装置
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6127199A (en) 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US6130503A (en) 1997-03-04 2000-10-10 Pioneer Electronic Corporation Electron emission device and display using the same
JPH10308166A (ja) 1997-03-04 1998-11-17 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
JPH10312739A (ja) 1997-03-10 1998-11-24 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
US5851921A (en) 1997-04-04 1998-12-22 Advanced Micro Devices, Inc. Semiconductor device and method for forming the device using a dual layer, self-aligned silicide to enhance contact performance
JPH10321123A (ja) 1997-05-15 1998-12-04 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
JP3724915B2 (ja) 1997-05-15 2005-12-07 パイオニア株式会社 電子放出素子及びこれを用いた表示装置
US6221792B1 (en) 1997-06-24 2001-04-24 Lam Research Corporation Metal and metal silicide nitridization in a high density, low pressure plasma reactor
KR19990014155A (ko) * 1997-07-24 1999-02-25 윌리엄 비. 켐플러 고 유전율 실리케이트 게이트 유전체
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6468856B2 (en) 1997-07-24 2002-10-22 Texas Instruments Incorporated High charge storage density integrated circuit capacitor
JPH1167065A (ja) 1997-08-08 1999-03-09 Pioneer Electron Corp 電子放出素子及びこれを用いた表示装置
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation
JP2000188400A (ja) * 1998-11-09 2000-07-04 Texas Instr Inc <Ti> 半導体デバイスを形成する方法
JP3368852B2 (ja) 1998-11-27 2003-01-20 株式会社村田製作所 積層パターンの形成方法
JP2000208508A (ja) * 1999-01-13 2000-07-28 Texas Instr Inc <Ti> 珪酸塩高誘電率材料の真空蒸着

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100779468B1 (ko) * 1999-10-07 2007-11-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Zr/Hf 규산염막의 CVD 증착을 위한 조성물 및 방법
KR100804002B1 (ko) * 1999-10-07 2008-02-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Zr/Hf 규산염막의 CVD 증착을 위한 조성물 및 방법
KR100869448B1 (ko) * 2001-03-20 2008-11-19 프리스케일 세미컨덕터, 인크. 높은 k를 갖는 유전체 막과 그 제조 방법

Also Published As

Publication number Publication date
US6544875B1 (en) 2003-04-08
US6821835B2 (en) 2004-11-23
EP1028458A3 (en) 2000-12-20
EP1028458A2 (en) 2000-08-16
JP2000208508A (ja) 2000-07-28
TW440970B (en) 2001-06-16
US20030215995A1 (en) 2003-11-20

Similar Documents

Publication Publication Date Title
KR20000052627A (ko) 고 유전율 실리케이트 재료의 화학 기상 증착 방법
US6579767B2 (en) Method for forming aluminum oxide as a gate dielectric
US6821873B2 (en) Anneal sequence for high-κ film property optimization
US6858547B2 (en) System and method for forming a gate dielectric
US7723781B2 (en) Vertical thin-film transistor with enhanced gate oxide
US20030111678A1 (en) CVD deposition of M-SION gate dielectrics
US20010006843A1 (en) Method for forming a gate insulating film for semiconductor devices
US20090011608A1 (en) Manufacturing method of semiconductor device
JPH0673367B2 (ja) 半導体集積回路容量の製作方法
CN101425457A (zh) 高介电常数栅极介电材料的形成方法与半导体元件
KR100687153B1 (ko) 반도체 장치 및 그 제조 방법
US20020151142A1 (en) Thermally stable poly-Si/high dielectric constant material interfaces
KR20010076401A (ko) 반도체 소자 및 도전성 구조를 형성하기 위한 공정
KR100695887B1 (ko) 티타늄질화막 형성 방법 및 상기 티타늄질화막을 이용한금속-절연체-금속 커패시터의 하부전극 형성 방법
US20070166931A1 (en) Methods of Manufacturing A Semiconductor Device for Improving the Electrical Characteristics of A Dielectric Film
US7786021B2 (en) High-density plasma multilayer gate oxide
US7351626B2 (en) Method for controlling defects in gate dielectrics
KR20090121959A (ko) 반도체 장치 및 그 형성방법
KR100600292B1 (ko) 반도체 소자의 캐패시터 제조 방법
KR100530149B1 (ko) 반도체 소자의 게이트 전극 제조 방법
US20080242114A1 (en) Thermal anneal method for a high-k dielectric
JP4416354B2 (ja) 半導体装置の製造方法とその製造装置
US20040002183A1 (en) CVD deposition of M-ON gate dielectrics
KR100418092B1 (ko) 반도체 소자의 커패시터 제조 방법
KR100449248B1 (ko) 원자층 증착을 이용한 커패시터 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application